Loaded HAL Components: ID Type Name PID State 12 RT hm2_7i90 ready Component Pins: Owner Type Dir Value Name 12 float OUT 0 hm2_7i90.0.7i84.0.0.analogin0 12 float OUT 0 hm2_7i90.0.7i84.0.0.analogin1 12 float OUT 0 hm2_7i90.0.7i84.0.0.analogin2 12 float OUT 0 hm2_7i90.0.7i84.0.0.analogin3 12 s32 OUT 0 hm2_7i90.0.7i84.0.0.enc0.count 12 bit I/O FALSE hm2_7i90.0.7i84.0.0.enc0.index-enable 12 float OUT 0 hm2_7i90.0.7i84.0.0.enc0.position 12 s32 OUT 0 hm2_7i90.0.7i84.0.0.enc0.rawcounts 12 bit I/O FALSE hm2_7i90.0.7i84.0.0.enc0.reset 12 s32 OUT 0 hm2_7i90.0.7i84.0.0.enc1.count 12 bit I/O FALSE hm2_7i90.0.7i84.0.0.enc1.index-enable 12 float OUT 0 hm2_7i90.0.7i84.0.0.enc1.position 12 s32 OUT 0 hm2_7i90.0.7i84.0.0.enc1.rawcounts 12 bit I/O FALSE hm2_7i90.0.7i84.0.0.enc1.reset 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-00 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-00-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-01 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-01-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-02 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-02-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-03 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-03-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-04 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-04-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-05 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-05-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-06 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-06-not 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-07 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-07-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-08 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-08-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-09 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-09-not 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-10 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-10-not 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-11 ==> program-start-btn 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-11-not 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-12 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-12-not ==> spindle-request 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-13 ==> estop-loop 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-13-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-14 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-14-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-15 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-15-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-16 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-16-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-17 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-17-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-18 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-18-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-19 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-19-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-20 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-20-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-21 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-21-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-22 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-22-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-23 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-23-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-24 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-24-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-25 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-25-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-26 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-26-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-27 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-27-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-28 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-28-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-29 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-29-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-30 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-30-not 12 bit OUT FALSE hm2_7i90.0.7i84.0.0.input-31 12 bit OUT TRUE hm2_7i90.0.7i84.0.0.input-31-not 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-00 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-01 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-02 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-03 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-04 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-05 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-06 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-07 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-08 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-09 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-10 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-11 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-12 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-13 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-14 12 bit IN FALSE hm2_7i90.0.7i84.0.0.output-15 12 s32 OUT 0 hm2_7i90.0.encoder.00.count ==> mpg-out 12 s32 OUT 0 hm2_7i90.0.encoder.00.count-latched 12 bit I/O FALSE hm2_7i90.0.encoder.00.index-enable 12 bit OUT TRUE hm2_7i90.0.encoder.00.input-a 12 bit OUT TRUE hm2_7i90.0.encoder.00.input-b 12 bit OUT TRUE hm2_7i90.0.encoder.00.input-index 12 bit IN FALSE hm2_7i90.0.encoder.00.latch-enable 12 bit IN FALSE hm2_7i90.0.encoder.00.latch-polarity 12 float OUT 0 hm2_7i90.0.encoder.00.position 12 float OUT 0 hm2_7i90.0.encoder.00.position-latched 12 bit OUT FALSE hm2_7i90.0.encoder.00.quad-error 12 bit IN FALSE hm2_7i90.0.encoder.00.quad-error-enable 12 s32 OUT 0 hm2_7i90.0.encoder.00.rawcounts 12 s32 OUT 0 hm2_7i90.0.encoder.00.rawlatch 12 bit IN FALSE hm2_7i90.0.encoder.00.reset 12 float OUT 0 hm2_7i90.0.encoder.00.velocity 12 s32 OUT 0 hm2_7i90.0.encoder.01.count 12 s32 OUT 0 hm2_7i90.0.encoder.01.count-latched 12 bit I/O FALSE hm2_7i90.0.encoder.01.index-enable 12 bit OUT TRUE hm2_7i90.0.encoder.01.input-a 12 bit OUT TRUE hm2_7i90.0.encoder.01.input-b 12 bit OUT FALSE hm2_7i90.0.encoder.01.input-index 12 bit IN FALSE hm2_7i90.0.encoder.01.latch-enable 12 bit IN FALSE hm2_7i90.0.encoder.01.latch-polarity 12 float OUT 0 hm2_7i90.0.encoder.01.position 12 float OUT 0 hm2_7i90.0.encoder.01.position-latched 12 bit OUT FALSE hm2_7i90.0.encoder.01.quad-error 12 bit IN FALSE hm2_7i90.0.encoder.01.quad-error-enable 12 s32 OUT 2 hm2_7i90.0.encoder.01.rawcounts 12 s32 OUT 2 hm2_7i90.0.encoder.01.rawlatch 12 bit IN FALSE hm2_7i90.0.encoder.01.reset 12 float OUT 0 hm2_7i90.0.encoder.01.velocity ==> spindle-rps 12 u32 IN 0x017D7840 hm2_7i90.0.encoder.sample-frequency 12 bit OUT FALSE hm2_7i90.0.gpio.000.in 12 bit OUT TRUE hm2_7i90.0.gpio.000.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.001.in 12 bit OUT TRUE hm2_7i90.0.gpio.001.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.002.in 12 bit OUT TRUE hm2_7i90.0.gpio.002.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.003.in 12 bit OUT TRUE hm2_7i90.0.gpio.003.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.004.in 12 bit OUT FALSE hm2_7i90.0.gpio.004.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.005.in 12 bit OUT TRUE hm2_7i90.0.gpio.005.in_not ==> mpg-x 12 bit IN FALSE hm2_7i90.0.gpio.005.out 12 bit OUT TRUE hm2_7i90.0.gpio.006.in 12 bit OUT FALSE hm2_7i90.0.gpio.006.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.007.in 12 bit OUT FALSE hm2_7i90.0.gpio.007.in_not ==> mpg-y 12 bit IN FALSE hm2_7i90.0.gpio.007.out 12 bit OUT FALSE hm2_7i90.0.gpio.008.in 12 bit OUT TRUE hm2_7i90.0.gpio.008.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.009.in 12 bit OUT FALSE hm2_7i90.0.gpio.009.in_not ==> mpg-z 12 bit IN FALSE hm2_7i90.0.gpio.009.out 12 bit OUT TRUE hm2_7i90.0.gpio.010.in 12 bit OUT FALSE hm2_7i90.0.gpio.010.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.011.in 12 bit OUT FALSE hm2_7i90.0.gpio.011.in_not 12 bit IN FALSE hm2_7i90.0.gpio.011.out 12 bit OUT TRUE hm2_7i90.0.gpio.012.in 12 bit OUT FALSE hm2_7i90.0.gpio.012.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.013.in 12 bit OUT FALSE hm2_7i90.0.gpio.013.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.014.in 12 bit OUT FALSE hm2_7i90.0.gpio.014.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.015.in 12 bit OUT FALSE hm2_7i90.0.gpio.015.in_not 12 bit IN FALSE hm2_7i90.0.gpio.015.out 12 bit OUT FALSE hm2_7i90.0.gpio.016.in 12 bit OUT TRUE hm2_7i90.0.gpio.016.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.017.in 12 bit OUT TRUE hm2_7i90.0.gpio.017.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.018.in 12 bit OUT FALSE hm2_7i90.0.gpio.018.in_not 12 bit IN FALSE hm2_7i90.0.gpio.018.out 12 bit OUT TRUE hm2_7i90.0.gpio.019.in 12 bit OUT FALSE hm2_7i90.0.gpio.019.in_not 12 bit IN FALSE hm2_7i90.0.gpio.019.out 12 bit OUT FALSE hm2_7i90.0.gpio.020.in 12 bit OUT TRUE hm2_7i90.0.gpio.020.in_not 12 bit OUT FALSE hm2_7i90.0.gpio.021.in 12 bit OUT TRUE hm2_7i90.0.gpio.021.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.022.in 12 bit OUT FALSE hm2_7i90.0.gpio.022.in_not 12 bit OUT TRUE hm2_7i90.0.gpio.023.in 12 bit OUT FALSE hm2_7i90.0.gpio.023.in_not 12 bit IN FALSE hm2_7i90.0.gpio.023.out 12 bit OUT TRUE hm2_7i90.0.gpio.024.in 12 bit OUT FALSE hm2_7i90.0.gpio.024.in_not 12 bit IN FALSE hm2_7i90.0.gpio.024.out 12 bit OUT TRUE hm2_7i90.0.gpio.025.in 12 bit OUT FALSE hm2_7i90.0.gpio.025.in_not 12 bit IN FALSE hm2_7i90.0.gpio.025.out 12 bit OUT TRUE hm2_7i90.0.gpio.026.in 12 bit OUT FALSE hm2_7i90.0.gpio.026.in_not 12 bit IN FALSE hm2_7i90.0.gpio.026.out 12 bit OUT TRUE hm2_7i90.0.gpio.027.in 12 bit OUT FALSE hm2_7i90.0.gpio.027.in_not 12 bit IN FALSE hm2_7i90.0.gpio.027.out 12 bit OUT TRUE hm2_7i90.0.gpio.028.in 12 bit OUT FALSE hm2_7i90.0.gpio.028.in_not 12 bit IN FALSE hm2_7i90.0.gpio.028.out 12 bit OUT TRUE hm2_7i90.0.gpio.029.in 12 bit OUT FALSE hm2_7i90.0.gpio.029.in_not 12 bit IN FALSE hm2_7i90.0.gpio.029.out 12 bit OUT TRUE hm2_7i90.0.gpio.030.in 12 bit OUT FALSE hm2_7i90.0.gpio.030.in_not 12 bit IN FALSE hm2_7i90.0.gpio.030.out 12 bit OUT TRUE hm2_7i90.0.gpio.031.in 12 bit OUT FALSE hm2_7i90.0.gpio.031.in_not 12 bit IN FALSE hm2_7i90.0.gpio.031.out 12 bit OUT TRUE hm2_7i90.0.gpio.032.in 12 bit OUT FALSE hm2_7i90.0.gpio.032.in_not 12 bit IN FALSE hm2_7i90.0.gpio.032.out 12 bit OUT TRUE hm2_7i90.0.gpio.033.in 12 bit OUT FALSE hm2_7i90.0.gpio.033.in_not 12 bit IN FALSE hm2_7i90.0.gpio.033.out 12 bit OUT TRUE hm2_7i90.0.gpio.034.in 12 bit OUT FALSE hm2_7i90.0.gpio.034.in_not 12 bit IN FALSE hm2_7i90.0.gpio.034.out 12 bit OUT TRUE hm2_7i90.0.gpio.035.in ==> pause-resume-btn 12 bit OUT FALSE hm2_7i90.0.gpio.035.in_not 12 bit IN FALSE hm2_7i90.0.gpio.035.out 12 bit OUT TRUE hm2_7i90.0.gpio.036.in ==> scale2 12 bit OUT FALSE hm2_7i90.0.gpio.036.in_not 12 bit IN FALSE hm2_7i90.0.gpio.036.out 12 bit OUT TRUE hm2_7i90.0.gpio.037.in ==> scale1 12 bit OUT FALSE hm2_7i90.0.gpio.037.in_not 12 bit IN FALSE hm2_7i90.0.gpio.037.out 12 bit OUT TRUE hm2_7i90.0.gpio.038.in 12 bit OUT FALSE hm2_7i90.0.gpio.038.in_not ==> button-tool-change 12 bit IN FALSE hm2_7i90.0.gpio.038.out 12 bit OUT TRUE hm2_7i90.0.gpio.039.in 12 bit OUT FALSE hm2_7i90.0.gpio.039.in_not 12 bit IN FALSE hm2_7i90.0.gpio.039.out 12 bit OUT TRUE hm2_7i90.0.gpio.040.in 12 bit OUT FALSE hm2_7i90.0.gpio.040.in_not 12 bit IN FALSE hm2_7i90.0.gpio.040.out 12 bit OUT TRUE hm2_7i90.0.gpio.041.in 12 bit OUT FALSE hm2_7i90.0.gpio.041.in_not 12 bit IN FALSE hm2_7i90.0.gpio.041.out 12 bit OUT TRUE hm2_7i90.0.gpio.042.in 12 bit OUT FALSE hm2_7i90.0.gpio.042.in_not 12 bit IN FALSE hm2_7i90.0.gpio.042.out 12 bit OUT TRUE hm2_7i90.0.gpio.043.in 12 bit OUT FALSE hm2_7i90.0.gpio.043.in_not 12 bit IN FALSE hm2_7i90.0.gpio.043.out 12 bit OUT TRUE hm2_7i90.0.gpio.044.in 12 bit OUT FALSE hm2_7i90.0.gpio.044.in_not 12 bit IN FALSE hm2_7i90.0.gpio.044.out 12 bit OUT TRUE hm2_7i90.0.gpio.045.in 12 bit OUT FALSE hm2_7i90.0.gpio.045.in_not 12 bit IN FALSE hm2_7i90.0.gpio.045.out 12 bit OUT TRUE hm2_7i90.0.gpio.046.in 12 bit OUT FALSE hm2_7i90.0.gpio.046.in_not 12 bit IN FALSE hm2_7i90.0.gpio.046.out 12 bit OUT TRUE hm2_7i90.0.gpio.047.in 12 bit OUT FALSE hm2_7i90.0.gpio.047.in_not 12 bit IN FALSE hm2_7i90.0.gpio.047.out 12 bit OUT TRUE hm2_7i90.0.gpio.048.in 12 bit OUT FALSE hm2_7i90.0.gpio.048.in_not 12 bit IN FALSE hm2_7i90.0.gpio.048.out 12 bit OUT TRUE hm2_7i90.0.gpio.049.in 12 bit OUT FALSE hm2_7i90.0.gpio.049.in_not 12 bit IN FALSE hm2_7i90.0.gpio.049.out 12 bit OUT TRUE hm2_7i90.0.gpio.050.in 12 bit OUT FALSE hm2_7i90.0.gpio.050.in_not 12 bit IN FALSE hm2_7i90.0.gpio.050.out 12 bit OUT TRUE hm2_7i90.0.gpio.051.in 12 bit OUT FALSE hm2_7i90.0.gpio.051.in_not 12 bit IN FALSE hm2_7i90.0.gpio.051.out 12 bit OUT TRUE hm2_7i90.0.gpio.052.in 12 bit OUT FALSE hm2_7i90.0.gpio.052.in_not 12 bit IN FALSE hm2_7i90.0.gpio.052.out 12 bit OUT TRUE hm2_7i90.0.gpio.053.in 12 bit OUT FALSE hm2_7i90.0.gpio.053.in_not 12 bit IN FALSE hm2_7i90.0.gpio.053.out 12 bit OUT TRUE hm2_7i90.0.gpio.054.in 12 bit OUT FALSE hm2_7i90.0.gpio.054.in_not 12 bit IN FALSE hm2_7i90.0.gpio.054.out 12 bit OUT TRUE hm2_7i90.0.gpio.055.in 12 bit OUT FALSE hm2_7i90.0.gpio.055.in_not 12 bit IN FALSE hm2_7i90.0.gpio.055.out 12 bit OUT TRUE hm2_7i90.0.gpio.056.in 12 bit OUT FALSE hm2_7i90.0.gpio.056.in_not 12 bit IN FALSE hm2_7i90.0.gpio.056.out 12 bit OUT TRUE hm2_7i90.0.gpio.057.in 12 bit OUT FALSE hm2_7i90.0.gpio.057.in_not 12 bit IN FALSE hm2_7i90.0.gpio.057.out 12 bit OUT TRUE hm2_7i90.0.gpio.058.in 12 bit OUT FALSE hm2_7i90.0.gpio.058.in_not 12 bit IN FALSE hm2_7i90.0.gpio.058.out 12 bit OUT TRUE hm2_7i90.0.gpio.059.in 12 bit OUT FALSE hm2_7i90.0.gpio.059.in_not 12 bit IN FALSE hm2_7i90.0.gpio.059.out 12 bit OUT TRUE hm2_7i90.0.gpio.060.in 12 bit OUT FALSE hm2_7i90.0.gpio.060.in_not 12 bit IN FALSE hm2_7i90.0.gpio.060.out 12 bit OUT TRUE hm2_7i90.0.gpio.061.in 12 bit OUT FALSE hm2_7i90.0.gpio.061.in_not 12 bit IN FALSE hm2_7i90.0.gpio.061.out 12 bit OUT TRUE hm2_7i90.0.gpio.062.in 12 bit OUT FALSE hm2_7i90.0.gpio.062.in_not 12 bit IN FALSE hm2_7i90.0.gpio.062.out 12 bit OUT TRUE hm2_7i90.0.gpio.063.in 12 bit OUT FALSE hm2_7i90.0.gpio.063.in_not 12 bit IN FALSE hm2_7i90.0.gpio.063.out 12 bit OUT TRUE hm2_7i90.0.gpio.064.in 12 bit OUT FALSE hm2_7i90.0.gpio.064.in_not 12 bit IN FALSE hm2_7i90.0.gpio.064.out 12 bit OUT TRUE hm2_7i90.0.gpio.065.in 12 bit OUT FALSE hm2_7i90.0.gpio.065.in_not 12 bit IN FALSE hm2_7i90.0.gpio.065.out 12 bit OUT TRUE hm2_7i90.0.gpio.066.in 12 bit OUT FALSE hm2_7i90.0.gpio.066.in_not 12 bit IN FALSE hm2_7i90.0.gpio.066.out 12 bit OUT TRUE hm2_7i90.0.gpio.067.in 12 bit OUT FALSE hm2_7i90.0.gpio.067.in_not 12 bit IN FALSE hm2_7i90.0.gpio.067.out 12 bit OUT TRUE hm2_7i90.0.gpio.068.in 12 bit OUT FALSE hm2_7i90.0.gpio.068.in_not 12 bit IN FALSE hm2_7i90.0.gpio.068.out 12 bit OUT TRUE hm2_7i90.0.gpio.069.in 12 bit OUT FALSE hm2_7i90.0.gpio.069.in_not 12 bit IN FALSE hm2_7i90.0.gpio.069.out 12 bit OUT TRUE hm2_7i90.0.gpio.070.in 12 bit OUT FALSE hm2_7i90.0.gpio.070.in_not 12 bit IN FALSE hm2_7i90.0.gpio.070.out 12 bit OUT TRUE hm2_7i90.0.gpio.071.in 12 bit OUT FALSE hm2_7i90.0.gpio.071.in_not 12 bit IN FALSE hm2_7i90.0.gpio.071.out 12 bit IN FALSE hm2_7i90.0.led.CR01 12 bit IN FALSE hm2_7i90.0.led.CR02 12 bit IN FALSE hm2_7i90.0.pwmgen.00.enable <== spindle-on 12 float IN 0 hm2_7i90.0.pwmgen.00.value <== spindle-speed-out 12 u32 OUT 0x00000000 hm2_7i90.0.sserial.port-0.fault-count 12 u32 OUT 0x00000001 hm2_7i90.0.sserial.port-0.port_state 12 bit IN TRUE hm2_7i90.0.sserial.port-0.run 12 bit IN FALSE hm2_7i90.0.stepgen.00.control-type 12 s32 OUT 0 hm2_7i90.0.stepgen.00.counts 12 float OUT 0 hm2_7i90.0.stepgen.00.dbg_err_at_match 12 float OUT 0 hm2_7i90.0.stepgen.00.dbg_ff_vel 12 float OUT -0 hm2_7i90.0.stepgen.00.dbg_pos_minus_prev_ 12 float OUT 0 hm2_7i90.0.stepgen.00.dbg_s_to_match 12 s32 OUT 0 hm2_7i90.0.stepgen.00.dbg_step_rate 12 float OUT 0 hm2_7i90.0.stepgen.00.dbg_vel_error 12 bit IN TRUE hm2_7i90.0.stepgen.00.enable <== emcmot.00.enable 12 float IN 0 hm2_7i90.0.stepgen.00.position-cmd <== emcmot.00.pos-cmd 12 float OUT -0 hm2_7i90.0.stepgen.00.position-fb ==> motor.00.pos-fb 12 float IN 0 hm2_7i90.0.stepgen.00.velocity-cmd 12 float OUT 0 hm2_7i90.0.stepgen.00.velocity-fb 12 bit IN FALSE hm2_7i90.0.stepgen.01.control-type 12 s32 OUT 0 hm2_7i90.0.stepgen.01.counts 12 float OUT 0 hm2_7i90.0.stepgen.01.dbg_err_at_match 12 float OUT 0 hm2_7i90.0.stepgen.01.dbg_ff_vel 12 float OUT 0 hm2_7i90.0.stepgen.01.dbg_pos_minus_prev_ 12 float OUT 0 hm2_7i90.0.stepgen.01.dbg_s_to_match 12 s32 OUT 0 hm2_7i90.0.stepgen.01.dbg_step_rate 12 float OUT 0 hm2_7i90.0.stepgen.01.dbg_vel_error 12 bit IN TRUE hm2_7i90.0.stepgen.01.enable <== emcmot.01.enable 12 float IN 0 hm2_7i90.0.stepgen.01.position-cmd <== emcmot.01.pos-cmd 12 float OUT 0 hm2_7i90.0.stepgen.01.position-fb ==> motor.01.pos-fb 12 float IN 0 hm2_7i90.0.stepgen.01.velocity-cmd 12 float OUT 0 hm2_7i90.0.stepgen.01.velocity-fb 12 bit IN FALSE hm2_7i90.0.stepgen.02.control-type 12 s32 OUT 0 hm2_7i90.0.stepgen.02.counts 12 float OUT 0 hm2_7i90.0.stepgen.02.dbg_err_at_match 12 float OUT 0 hm2_7i90.0.stepgen.02.dbg_ff_vel 12 float OUT 0 hm2_7i90.0.stepgen.02.dbg_pos_minus_prev_ 12 float OUT 0 hm2_7i90.0.stepgen.02.dbg_s_to_match 12 s32 OUT 0 hm2_7i90.0.stepgen.02.dbg_step_rate 12 float OUT 0 hm2_7i90.0.stepgen.02.dbg_vel_error 12 bit IN TRUE hm2_7i90.0.stepgen.02.enable <== emcmot.02.enable 12 float IN 0 hm2_7i90.0.stepgen.02.position-cmd <== emcmot.02.pos-cmd 12 float OUT 0 hm2_7i90.0.stepgen.02.position-fb ==> motor.02.pos-fb 12 float IN 0 hm2_7i90.0.stepgen.02.velocity-cmd 12 float OUT 0 hm2_7i90.0.stepgen.02.velocity-fb 12 bit I/O FALSE hm2_7i90.0.watchdog.has_bit Pin Aliases: Alias Original Name Signals: Type Value Name (linked to) Parameters: Owner Type Dir Value Name 12 float RW 36.3 hm2_7i90.0.7i84.0.0.analogin0-scalemax 12 float RW 36.3 hm2_7i90.0.7i84.0.0.analogin1-scalemax 12 float RW 36.3 hm2_7i90.0.7i84.0.0.analogin2-scalemax 12 float RW 36.3 hm2_7i90.0.7i84.0.0.analogin3-scalemax 12 u32 RW 0x00000100 hm2_7i90.0.7i84.0.0.enc0.counts-per-rev 12 float RW 1 hm2_7i90.0.7i84.0.0.enc0.scale 12 u32 RW 0x00000100 hm2_7i90.0.7i84.0.0.enc1.counts-per-rev 12 float RW 1 hm2_7i90.0.7i84.0.0.enc1.scale 12 u32 RO 0x00000009 hm2_7i90.0.7i84.0.0.nvbaudrate 12 u32 RO 0x00000000 hm2_7i90.0.7i84.0.0.nvencmode0 12 u32 RO 0x00000000 hm2_7i90.0.7i84.0.0.nvencmode1 12 u32 RO 0x12345678 hm2_7i90.0.7i84.0.0.nvunitnumber 12 u32 RO 0x00000032 hm2_7i90.0.7i84.0.0.nvwatchdogtimeout 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-00-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-01-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-02-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-03-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-04-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-05-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-06-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-07-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-08-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-09-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-10-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-11-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-12-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-13-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-14-invert 12 bit RW FALSE hm2_7i90.0.7i84.0.0.output-15-invert 12 u32 RO 0x0000000E hm2_7i90.0.7i84.0.0.swrevision 12 bit RW TRUE hm2_7i90.0.encoder.00.counter-mode 12 bit RW TRUE hm2_7i90.0.encoder.00.filter 12 bit RW FALSE hm2_7i90.0.encoder.00.index-invert 12 bit RW FALSE hm2_7i90.0.encoder.00.index-mask 12 bit RW FALSE hm2_7i90.0.encoder.00.index-mask-invert 12 float RW 1 hm2_7i90.0.encoder.00.scale 12 float RW 0.5 hm2_7i90.0.encoder.00.vel-timeout 12 bit RW FALSE hm2_7i90.0.encoder.01.counter-mode 12 bit RW TRUE hm2_7i90.0.encoder.01.filter 12 bit RW FALSE hm2_7i90.0.encoder.01.index-invert 12 bit RW FALSE hm2_7i90.0.encoder.01.index-mask 12 bit RW FALSE hm2_7i90.0.encoder.01.index-mask-invert 12 float RW 2000 hm2_7i90.0.encoder.01.scale 12 float RW 0.5 hm2_7i90.0.encoder.01.vel-timeout 12 bit RW FALSE hm2_7i90.0.gpio.000.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.000.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.001.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.001.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.002.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.002.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.003.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.003.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.005.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.005.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.005.is_output 12 bit RW FALSE hm2_7i90.0.gpio.007.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.007.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.007.is_output 12 bit RW FALSE hm2_7i90.0.gpio.009.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.009.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.009.is_output 12 bit RW FALSE hm2_7i90.0.gpio.011.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.011.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.011.is_output 12 bit RW FALSE hm2_7i90.0.gpio.015.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.015.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.015.is_output 12 bit RW FALSE hm2_7i90.0.gpio.016.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.016.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.017.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.017.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.018.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.018.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.018.is_output 12 bit RW FALSE hm2_7i90.0.gpio.019.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.019.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.019.is_output 12 bit RW FALSE hm2_7i90.0.gpio.020.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.020.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.021.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.021.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.022.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.022.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.023.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.023.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.023.is_output 12 bit RW FALSE hm2_7i90.0.gpio.024.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.024.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.024.is_output 12 bit RW FALSE hm2_7i90.0.gpio.025.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.025.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.025.is_output 12 bit RW FALSE hm2_7i90.0.gpio.026.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.026.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.026.is_output 12 bit RW FALSE hm2_7i90.0.gpio.027.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.027.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.027.is_output 12 bit RW FALSE hm2_7i90.0.gpio.028.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.028.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.028.is_output 12 bit RW FALSE hm2_7i90.0.gpio.029.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.029.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.029.is_output 12 bit RW FALSE hm2_7i90.0.gpio.030.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.030.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.030.is_output 12 bit RW FALSE hm2_7i90.0.gpio.031.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.031.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.031.is_output 12 bit RW FALSE hm2_7i90.0.gpio.032.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.032.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.032.is_output 12 bit RW FALSE hm2_7i90.0.gpio.033.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.033.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.033.is_output 12 bit RW FALSE hm2_7i90.0.gpio.034.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.034.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.034.is_output 12 bit RW FALSE hm2_7i90.0.gpio.035.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.035.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.035.is_output 12 bit RW FALSE hm2_7i90.0.gpio.036.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.036.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.036.is_output 12 bit RW FALSE hm2_7i90.0.gpio.037.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.037.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.037.is_output 12 bit RW FALSE hm2_7i90.0.gpio.038.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.038.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.038.is_output 12 bit RW TRUE hm2_7i90.0.gpio.039.invert_output 12 bit RW TRUE hm2_7i90.0.gpio.039.is_opendrain 12 bit RW TRUE hm2_7i90.0.gpio.039.is_output 12 bit RW FALSE hm2_7i90.0.gpio.040.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.040.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.040.is_output 12 bit RW FALSE hm2_7i90.0.gpio.041.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.041.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.041.is_output 12 bit RW FALSE hm2_7i90.0.gpio.042.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.042.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.042.is_output 12 bit RW FALSE hm2_7i90.0.gpio.043.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.043.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.043.is_output 12 bit RW FALSE hm2_7i90.0.gpio.044.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.044.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.044.is_output 12 bit RW FALSE hm2_7i90.0.gpio.045.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.045.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.045.is_output 12 bit RW FALSE hm2_7i90.0.gpio.046.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.046.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.046.is_output 12 bit RW FALSE hm2_7i90.0.gpio.047.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.047.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.047.is_output 12 bit RW FALSE hm2_7i90.0.gpio.048.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.048.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.048.is_output 12 bit RW FALSE hm2_7i90.0.gpio.049.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.049.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.049.is_output 12 bit RW FALSE hm2_7i90.0.gpio.050.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.050.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.050.is_output 12 bit RW FALSE hm2_7i90.0.gpio.051.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.051.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.051.is_output 12 bit RW FALSE hm2_7i90.0.gpio.052.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.052.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.052.is_output 12 bit RW FALSE hm2_7i90.0.gpio.053.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.053.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.053.is_output 12 bit RW FALSE hm2_7i90.0.gpio.054.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.054.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.054.is_output 12 bit RW FALSE hm2_7i90.0.gpio.055.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.055.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.055.is_output 12 bit RW FALSE hm2_7i90.0.gpio.056.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.056.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.056.is_output 12 bit RW FALSE hm2_7i90.0.gpio.057.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.057.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.057.is_output 12 bit RW FALSE hm2_7i90.0.gpio.058.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.058.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.058.is_output 12 bit RW FALSE hm2_7i90.0.gpio.059.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.059.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.059.is_output 12 bit RW FALSE hm2_7i90.0.gpio.060.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.060.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.060.is_output 12 bit RW FALSE hm2_7i90.0.gpio.061.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.061.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.061.is_output 12 bit RW FALSE hm2_7i90.0.gpio.062.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.062.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.062.is_output 12 bit RW FALSE hm2_7i90.0.gpio.063.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.063.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.063.is_output 12 bit RW FALSE hm2_7i90.0.gpio.064.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.064.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.064.is_output 12 bit RW FALSE hm2_7i90.0.gpio.065.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.065.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.065.is_output 12 bit RW FALSE hm2_7i90.0.gpio.066.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.066.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.066.is_output 12 bit RW FALSE hm2_7i90.0.gpio.067.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.067.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.067.is_output 12 bit RW FALSE hm2_7i90.0.gpio.068.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.068.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.068.is_output 12 bit RW FALSE hm2_7i90.0.gpio.069.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.069.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.069.is_output 12 bit RW FALSE hm2_7i90.0.gpio.070.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.070.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.070.is_output 12 bit RW FALSE hm2_7i90.0.gpio.071.invert_output 12 bit RW FALSE hm2_7i90.0.gpio.071.is_opendrain 12 bit RW FALSE hm2_7i90.0.gpio.071.is_output 12 bit RW FALSE hm2_7i90.0.io_error 12 s32 RO 20889 hm2_7i90.0.pet_watchdog.time 12 s32 RW 34803 hm2_7i90.0.pet_watchdog.tmax 12 s32 RW 1 hm2_7i90.0.pwmgen.00.output-type 12 float RW 0.5 hm2_7i90.0.pwmgen.00.scale 12 u32 RW 0x00004E20 hm2_7i90.0.pwmgen.pdm_frequency 12 u32 RW 0x000061A8 hm2_7i90.0.pwmgen.pwm_frequency 12 s32 RO 317034 hm2_7i90.0.read.time 12 s32 RW 470484 hm2_7i90.0.read.tmax 12 u32 RW 0x00000001 hm2_7i90.0.sserial.port-0.fault-dec 12 u32 RW 0x0000000A hm2_7i90.0.sserial.port-0.fault-inc 12 u32 RW 0x000000C8 hm2_7i90.0.sserial.port-0.fault-lim 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.00.dirhold 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.00.dirsetup 12 float RW 0 hm2_7i90.0.stepgen.00.maxaccel 12 float RW 0 hm2_7i90.0.stepgen.00.maxvel 12 float RW -40000 hm2_7i90.0.stepgen.00.position-scale 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.00.step_type 12 u32 RW 0x00000640 hm2_7i90.0.stepgen.00.steplen 12 u32 RW 0x000007D0 hm2_7i90.0.stepgen.00.stepspace 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.00.table-data-0 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.00.table-data-1 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.00.table-data-2 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.00.table-data-3 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.01.dirhold 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.01.dirsetup 12 float RW 0 hm2_7i90.0.stepgen.01.maxaccel 12 float RW 0 hm2_7i90.0.stepgen.01.maxvel 12 float RW 40000 hm2_7i90.0.stepgen.01.position-scale 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.01.step_type 12 u32 RW 0x00000640 hm2_7i90.0.stepgen.01.steplen 12 u32 RW 0x000007D0 hm2_7i90.0.stepgen.01.stepspace 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.01.table-data-0 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.01.table-data-1 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.01.table-data-2 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.01.table-data-3 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.02.dirhold 12 u32 RW 0x000000C8 hm2_7i90.0.stepgen.02.dirsetup 12 float RW 0 hm2_7i90.0.stepgen.02.maxaccel 12 float RW 0 hm2_7i90.0.stepgen.02.maxvel 12 float RW 40000 hm2_7i90.0.stepgen.02.position-scale 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.02.step_type 12 u32 RW 0x00000640 hm2_7i90.0.stepgen.02.steplen 12 u32 RW 0x000007D0 hm2_7i90.0.stepgen.02.stepspace 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.02.table-data-0 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.02.table-data-1 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.02.table-data-2 12 u32 RW 0x00000000 hm2_7i90.0.stepgen.02.table-data-3 12 u32 RW 0x00989680 hm2_7i90.0.watchdog.timeout_ns 12 s32 RO 155358 hm2_7i90.0.write.time 12 s32 RW 718506 hm2_7i90.0.write.tmax Parameter Aliases: Alias Original Name Exported Functions: Owner CodeAddr Arg FP Users Name 00012 fc717180 f7110000 NO 1 hm2_7i90.0.pet_watchdog 00012 fc703d50 f7110000 YES 1 hm2_7i90.0.read 00012 fc703c80 f7110000 YES 1 hm2_7i90.0.write Realtime Threads: Period FP Name ( Time, Max-Time )