#robotics Logs

Jan 28 2020

#robotics Calendar

07:33 AM Malvolio is now known as Guest50527
08:39 AM rue_bed: --
08:46 AM Tom_L: maybe i'll wire up that encoder today
08:46 AM Tom_L: morning got cleared out so i got no excuses
09:08 AM justanotheruser is now known as FeudalBear
09:56 AM Tom_L: ok. 10k pullup to 5v, 330 current limit to the 3.3v fpga inputs should be ok
09:57 AM Tom_L: now to find the box my connectors are in for the +5v
09:57 AM Tom_L: rue_mohr, wire up an encoder!
11:25 AM Tom_L: ok crude test .v but i've verified the quadrature inputs work
11:25 AM Tom_L: it complains about what io pins i'm using though
11:55 AM Tom_L: next to figure out which pins can be used for fast clock buffer
02:53 PM FeudalBear is now known as justanotheruser
07:01 PM rue_mohr: I have to sleep for a bit and get work off my brian
07:05 PM Tom_L: i found you start doing tricky stuff it gets picky about what pins you use
07:06 PM rue_mohr: you do rememebr how to do the chip pullups right?
07:07 PM Tom_L: i used physical pullups but you can do it in the planner
07:07 PM rue_mohr: no, remember I set the pullups on for my buttons?
07:08 PM Tom_L: https://paste.ubuntu.com/p/WYN27XjTqF/
07:08 PM Tom_L: when you use wrong pins
07:08 PM Tom_L: but you can force it which i did for the test
07:09 PM rue_mohr: hu?
07:09 PM rue_mohr: they can all do pullup cant they?
07:10 PM Tom_L: i was using it as a clock source and it didn't like that
07:10 PM rue_mohr: hmm
07:10 PM Tom_L: they can all do pullups i suppose
07:11 PM Tom_L: some pins are mapped to better spots depending on the application though
07:11 PM Tom_L: i haven't found that list yet
08:39 PM rue_bed: ok, I'm awake
08:39 PM rue_bed: where is my tea
08:40 PM Tom_L: trying to add the 7seg to this but it's not displaying yet
08:40 PM rue_bed: should I start with some 7seg or the encoder
08:40 PM rue_bed: same cookie, different edge
08:40 PM Tom_L: up to you
08:41 PM rue_bed: hmm
08:41 PM Tom_L: i went with encoder because my 7seg works
08:41 PM Tom_L: just gotta figure out how to hook to it
08:41 PM rue_bed: did I hook up 10 or 11 bits?
08:42 PM rue_bed: yesterday I finished one of my todo items
08:42 PM Tom_L: dunno
08:42 PM Tom_L: this quad is rather fine to watch on 2 leds
08:42 PM rue_bed: so, I can go 1 of two ways
08:43 PM rue_bed: - evaluate a state machine for the encoder at 50Mhz
08:43 PM rue_bed: - evaluate the state machine on the any edge of either encoder channel
08:43 PM Tom_L: bad idea
08:43 PM Tom_L: use the clock
08:43 PM rue_bed: the 2nd is what gave you an issue
08:44 PM Tom_L: because
08:44 PM rue_bed: so, maybe thats what I should do
08:44 PM Tom_L: things are synchronous
08:44 PM Tom_L: and the clock is the fastest thing
08:44 PM rue_bed: but I know I can do that, so why not try to do something that I dont know if I can
08:45 PM Tom_L: ^^ that's what i'm working on
08:45 PM rue_bed: mhm
08:45 PM Tom_L: something i'm not sure of
08:46 PM rue_bed: I should put supper on get some tea, and check my todo list
08:48 PM rue_bed: who is that?
08:48 PM rue_bed: moon, that you?
08:54 PM * Tom_L thinks rue_bed is seeing things
09:17 PM Tom_L: forgot to enable the 7seg pins in the ucf file
09:19 PM rue_mohr: it was moon
09:20 PM rue_mohr: todo list
09:20 PM rue_mohr: IR2110 half bridge driver
09:24 PM Tom_L: counter works now that i enabled the pins :)
09:33 PM rue_mohr: ok
09:33 PM rue_mohr: I need to put some parts on a bredboard and write some verilog
09:34 PM Tom_L: yessir
09:36 PM Tom_L: https://www.youtube.com/watch?v=o8lezpJhfAo
10:12 PM rue_shop2: ok I chose an 7 seg array
10:13 PM rue_shop2: I broke out 10 pins
10:18 PM rue_shop2: ok reversed the pinout
10:19 PM rue_shop2: hmm, wonder if I should throw a 7447 at it
10:20 PM rue_shop2: I have just enough now to drive the 3 digits
10:28 PM rue_shop2: 7448, and it only drives with a 2k
10:36 PM Tom_L: i found one of those last night in my pile of junk
10:37 PM Tom_L: that would save decoding in in the fpga (cheating)
10:40 PM rue_shop2: the display is common cathode
10:40 PM rue_shop2: the 7448 only drives via a 2k pullup
10:40 PM Tom_L: what to drive the anodes with?
10:40 PM Tom_L: 2n2222
10:40 PM rue_shop2: so, it would be more work unless I found a common anode
10:40 PM rue_shop2: 74HC541
10:41 PM Tom_L: just code it up in the fpga and you won't need all that
10:41 PM rue_shop2: heh
10:41 PM rue_shop2: I'll need to solder on more io header tho
10:41 PM rue_shop2: ok I have one segment wired up for testing
10:41 PM Tom_L: i lit 3 leds per channel cause i was having trouble seeing it
10:42 PM Tom_L: down to 1 per channel now
10:42 PM Tom_L: and i got the index pulse working
10:42 PM rue_shop2: I'm back at the start line
10:43 PM rue_shop2: see how fast I can catch up
10:44 PM Tom_L: my morning crapped out on me so i had time to work on it
10:45 PM rue_shop2: I'm burried at work
10:45 PM rue_shop2: I'm trying not to panic
10:45 PM rue_shop2: its just a queue
10:45 PM rue_shop2: just cause there is more than one thing happening doesn't mean everything has to be finished at once
10:45 PM rue_shop2: I only seem to be ok when everything is done
10:45 PM rue_shop2: it doesn't work like that
10:48 PM rue_shop2: renaming the project files, cause this is silly
10:48 PM rue_shop2: main.v and qmtech.ucf
10:51 PM rue_shop2: cleaning the last project....
10:51 PM Tom_L: i should have picked an encoder with lower res but i knew how this one was wired
10:51 PM rue_shop2: ?
10:51 PM Tom_L: 500cpr
10:51 PM rue_shop2: what was that res of that thing?
10:51 PM rue_shop2: oof
10:51 PM Tom_L: :)
10:51 PM rue_shop2: on that little motor?
10:51 PM Tom_L: a bit touchy
10:52 PM Tom_L: no this is the same as the one i've got on my mill spindle
10:52 PM Tom_L: i got 4 of em
10:53 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/motors/focusring10.jpg
10:53 PM Tom_L: those things had 2 ea and i have 2 of em
10:55 PM Tom_L: 2000 quadrature
10:55 PM Tom_L: at least that's what 1 rev of it displays
10:55 PM Tom_L: h7D0
10:57 PM rue_shop2: I found *the* motor (and its buddy)
10:58 PM Tom_L: with the heds on the back?
10:58 PM rue_shop2: yep
10:58 PM rue_shop2: the one rif got us to get
10:58 PM Tom_L: those are high res too
10:58 PM Tom_L: i had 2 of those on my maxbot
10:58 PM Tom_L: but they don't have a shaft and these did
11:00 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/motors/motor1.jpg
11:00 PM Tom_L: those have some sort of encoder but i dunno what it is
11:02 PM rue_shop2: ok, first step, here I go, hard coded digit 0
11:02 PM rue_shop2: compiling...
11:07 PM Tom_L: what'd moon want?
11:08 PM rue_shop2: he dropped off a tub of stuff
11:08 PM Tom_L: just what you don't need... more stuff
11:09 PM rue_shop2: ok! I have a 0
11:09 PM Tom_L: cool
11:09 PM rue_shop2: can you link me your decoder?
11:09 PM Tom_L: you wanna use my 7 seg code?
11:09 PM Tom_L: cause it's integrated with it
11:09 PM rue_shop2: thats ok
11:11 PM rue_shop2: ...?
11:11 PM Tom_L: i made a file with all my mapped io for the ucf and just uncomment the ones i'm using per project
11:11 PM rue_shop2: its ok, link me!
11:11 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/quadrature/
11:13 PM Tom_L: the 7seg uses a 16bit word that it parses into the bits
11:13 PM Tom_L: you don't need to do that, it's done
11:21 PM Tom_L: i'm adding the reset
11:32 PM Tom_L: updated
11:39 PM rue_shop2: cannot assign packed type to unpacked type
11:39 PM rue_shop2: !?!?!?!?!??!?!!
11:40 PM Tom_L: how did you get that?
11:40 PM rue_shop2: the case assigments
11:40 PM rue_shop2: I'm working onit...
11:41 PM Tom_L: my candle is growing dim
11:41 PM rue_shop2: thats ok
11:41 PM rue_shop2: I have 10 mins left
11:42 PM Tom_L: i may map the pins for my old board and see if it works tomorrow
11:48 PM rue_shop2: oh, I think it cant synchronize the 7 bits from a LUT
11:51 PM rue_shop2: so a LUT has to go to a register
11:52 PM Tom_L: polprog should be getting his programmer soon i'd think
11:53 PM rue_shop2: wonderfull
11:53 PM Tom_L: fix it?
11:53 PM rue_shop2: oh I'm after bedtime now
11:53 PM Tom_L: well if you are that means i'm way past
11:54 PM rue_shop2: = vs <= has to do with blocking
11:54 PM Tom_L: yeah, i don't quite get that one yet
11:54 PM rue_shop2: where do you put a module instance name?
11:55 PM Tom_L: inside the main module but outside everything else i think
11:55 PM rue_shop2: seg7Decoder(clkdown[22:19], {U8_59, U8_57, U8_55, U8_53, U8_51, U8_49, U8_47});
11:56 PM rue_shop2: cause a module name cant start with a 7 :/
11:56 PM rue_shop2: ;)
11:56 PM Tom_L: that _can_ be a separate file
11:57 PM Tom_L: then all you got in the main one is the instance
11:57 PM Tom_L: and
11:57 PM rue_shop2: its not, I improved it by breaking it completely and then overcomplexifying it
11:57 PM Tom_L: you can give the instance a name: seg7Decoder instance (clkdown[22:19], {U8_59,
11:58 PM rue_shop2: bah, still too fast
11:58 PM rue_shop2: ah
11:58 PM Tom_L: seg7Decoder I1 (clkdown[22:19], {U8_59,
11:59 PM Tom_L: seg7Decoder I2 (clkdown[22:19], {U8_59,
11:59 PM Tom_L: etc
11:59 PM rue_shop2: U1 ;)
11:59 PM Tom_L: you'll just mix that up with your convoluted pin names
11:59 PM rue_shop2: not till there is more than 7 of them :)
11:59 PM rue_shop2: the pin names are as per the pcb