#linuxcnc-devel | Logs for 2014-07-26

Back
[01:50:46] <seb_kuzm1nsky> hm2-buildmaster: force build --branch=master
[01:50:46] <hm2-buildmaster> you must provide a Builder, try 'force build [--branch=BRANCH] [--revision=REVISION] [--props=PROP1=VAL1,PROP2=VAL2...] <WHICH> <REASON>'
[01:50:50] <seb_kuzm1nsky> hm2-buildmaster: force build --branch=master build
[01:50:51] <hm2-buildmaster> build #14 forced
[01:50:51] <hm2-buildmaster> I'll give a shout when the build finishes
[02:06:10] <hm2-buildmaster> Hey! build build #14 is complete: Success [3build successful]
[02:06:10] <hm2-buildmaster> Build details are at http://localhost:8020/builders/build/builds/14
[02:12:31] <seb_kuzm1nsky> hm2-buildmaster: force build --branch=master build
[02:12:32] <hm2-buildmaster> build #15 forced
[02:12:32] <hm2-buildmaster> I'll give a shout when the build finishes
[02:27:54] <hm2-buildmaster> Hey! build build #15 is complete: Success [3build successful]
[02:27:54] <hm2-buildmaster> Build details are at http://localhost:8020/builders/build/builds/15
[09:21:33] <pcw_home> seb_kuzm1nsky: so I should clone hostmot2-firmware, checkout master,copy my new files on top and try a push?
[10:17:13] <jepler> this sounds neat, convenient if you don't want to install linux but want to keep your files .. too bad the procedure looks like hell for a newbie to follow. http://cosmolinux.no-ip.org/raconetlinux2/persistence.html
[10:31:08] <cradek> the ubuntu startup disk creator was supposed to allow persistence, but I don't think it ever worked for me
[10:31:29] <cradek> pcw_home: yes do that (master is automatically checked out in a new clone)
[10:44:29] <pcw_home> just
[10:44:31] <pcw_home> git push
[10:44:32] <pcw_home> ?
[10:45:14] <pcw_home> This will of course break the build until the scripts are fixed
[10:45:43] <cradek> it doesn't look like you've cloned yet; I don't see your login being used
[10:46:09] <cradek> you'll have to make a commit of your changed files, and then push that commit
[10:46:17] <jepler> "git add" new and modified files, "git commit" to create a commit describing the change, "git push" to push the changes.
[10:46:18] <cradek> yes I think seb intends for you to go ahead and break it
[10:46:31] <cradek> look who's here
[10:51:36] <seb_kuzminsky> look who's server rebooted out of the blue
[10:51:44] <cradek> ugh
[10:52:01] <CaptHindsight> I have to track down why we are getting worse numbers with RTAI than preempt_rt on similar hardware
[10:52:37] <CaptHindsight> https://www.osadl.org/Latency-plot-of-system-in-rack-2-slot.qa-latencyplot-r2s7.0.html they are getting 25uS with preempt_rt
[10:52:38] <cradek> pcw_home: if you've lost your ssh key, I can fix it, just send me another
[10:54:01] <CaptHindsight> Ubuntu 14.04.1 LTS , 3.12.24-rt38 #6 SMP PREEMPT RT their kernel config is here https://www.osadl.org/Profile-of-system-in-rack-2-slot-7.qa-profile-r2s7.0.html
[10:54:13] <seb_kuzminsky> pcw_home: i realized last night that the debs that the buildbot makes are not the native thing that you distribute to your users, so i taught the buildbot to make zips: http://buildbot.linuxcnc.org/hm2/zip/
[10:55:00] <seb_kuzminsky> it's not the same as the zips you have on your website (these only have hm2 bit files, and no windoes executables, etc), but maybe it's a start
[10:59:16] <pcw_home> I could not get that ssh:// thing to work
[11:03:27] <seb_kuzminsky> what did it say?
[11:03:38] <jepler> seb_kuzminsky: any comments on hm2-eth-v3, or may I push it?
[11:04:31] <seb_kuzminsky> pcw_home: here's a windows version of git, might fit better into your workflow: http://git-scm.com/downloads
[11:04:40] <seb_kuzminsky> jepler: i'll look right now
[11:04:46] <jepler> seb_kuzminsky: OK, the mailing list has a diff since v2
[11:06:38] <pcw_home> seb_kuzminsky: I'm doing this from linux
[11:06:59] <seb_kuzminsky> pcw_home: ok
[11:07:00] <cradek> pcw_home: try your clone again while I'm watching
[11:07:06] <cradek> ssh-rsa
[11:07:09] <cradek> oops
[11:07:17] <seb_kuzminsky> ssh-ra-ra-ra
[11:11:24] <seb_kuzminsky> jepler: i see you added the error message in hm2_tram_read()
[11:11:39] <seb_kuzminsky> and _write
[11:15:33] <KGB-linuxcnc> 03pcw 05master 7bbbced 06hostmot2-firmware 10(310 files) Hostmot2 vhdl source 7/25/14 * 14http://git.linuxcnc.org/?p=hostmot2-firmware.git;a=commitdiff;h=7bbbced
[11:15:45] <hm2-buildmaster> build #16 of build is complete: Failure [4failed compile] Build details are at http://localhost:8020/builders/build/builds/16 blamelist: pcw <pcw@pcw-G41M-Combo.(none)>
[11:15:53] <cradek> yay!
[11:16:08] <cradek> heh that url isn't quite right...
[11:16:41] <jepler> unfortunately added dos newlines to a lot of the files
[11:16:42] <jepler> oh well
[11:17:36] <cradek> actually, inconsistent newlines
[11:18:09] <cradek> seb can fix it up and push --force
[11:18:28] <jepler> yeah, if he wants
[11:21:41] <seb_kuzminsky> jepler: hm2-eth-v3 looks good to me, thanks
[11:21:44] <seb_kuzminsky> i say push it to master
[11:21:47] <jepler> seb_kuzminsky: OK, thanks
[11:21:51] <seb_kuzminsky> pcw_home: yay!
[11:22:30] <seb_kuzminsky> hm2-buildmaster: what do you mean, "DumbAss8sqw is not an entity name"?
[11:24:10] <pcw_home> :-)
[11:24:24] <seb_kuzminsky> pcw_home: do you know how to access the buildbot results?
[11:24:34] <cradek> I don't
[11:24:42] <jepler> seb_kuzminsky: hm2-buildmaster keeps giving localhost URLs
[11:24:57] <seb_kuzminsky> oops, i'll fix that, hold on
[11:25:11] <seb_kuzminsky> when i fix it, it will say http://buildbot.linuxcnc.org/hm2-buildbot/waterfall
[11:28:48] <KGB-linuxcnc> 03Michael Geszkiewicz 05jepler/hm2-eth-v3 18b1c3f 06linuxcnc 10src/hal/drivers/mesa-hostmot2/hostmot2-lowlevel.h 10src/hal/drivers/mesa-hostmot2/hostmot2.c 10src/hal/drivers/mesa-hostmot2/tram.c hostmot2: Introduce queue_{read,write} methods * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=18b1c3f
[11:28:48] <KGB-linuxcnc> 03Michael Geszkiewicz 05jepler/hm2-eth-v3 18010e1 06linuxcnc 10src/hal/drivers/mesa-hostmot2/hostmot2.c 10src/hal/drivers/mesa-hostmot2/hostmot2.h 10src/hal/drivers/mesa-hostmot2/watchdog.c hostmot2: move watchdog I/O to the read function * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=18010e1
[11:28:48] <KGB-linuxcnc> 03Michael Geszkiewicz 05jepler/hm2-eth-v3 c72834b 06linuxcnc 10(5 files in 3 dirs) hm2_eth: New driver for Mesa 7I80 ethernet cards * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=c72834b
[11:29:45] <jepler> [that was one last rebase onto the tip of master, and also giving the authorship back to micges]
[11:29:46] <seb_kuzminsky> oops, i wonder if i messed up the build of jepler's push to linuxcnc.git
[11:30:31] <KGB-linuxcnc> 03Jeff Epler 05master 0ffc74f 06linuxcnc Merge branch 'jepler/hm2-eth-v3' * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=0ffc74f
[11:30:43] <jepler> (yay)
[11:31:23] <seb_kuzminsky> cool
[11:32:11] <seb_kuzminsky> hm2-buildmaster: force build build
[11:32:24] <seb_kuzminsky> that's a pretty ridiculous-looking command
[11:32:27] <hm2-buildmaster> The build has been queued, I'll give a shout when it starts
[11:32:41] <hm2-buildmaster> build #17 forced
[11:32:41] <hm2-buildmaster> I'll give a shout when the build finishes
[11:32:46] <seb_kuzminsky> because i named the builder "build". Maybe i should have called it "all" instead
[11:33:36] <pcw_home> things that have changed other than vhdl source:
[11:33:38] <pcw_home> 1. Spartan6 cards need special bitgen options so the bifile headers dont touch the boot address regs and the config rate is set to 26 Mbits/s
[11:33:39] <pcw_home> 2. Spartan2 cards (5I20,4I65) that have sserial need to use the 5i20ss or 4i65ss ucf files
[11:33:41] <pcw_home> (for proper timing coverage and because of ISE 9.2 limitations )
[11:34:06] <hm2-buildmaster> build #17 of build is complete: Failure [4failed compile] Build details are at http://buildbot.linuxcnc.org/hm2-buildbot/builders/build/builds/17
[11:34:11] <CaptHindsight> has anyone tried to add kernel function tracing to the latency test to catch what was executed just before the latency jump?
[11:35:58] <pcw_home> (spartan 6 card also need reboot on CRC error option set for fallback to work)
[11:39:00] <pcw_home> squash for breakfast again...
[11:39:33] <cradek> yay! ethernet in master!
[11:40:59] <pcw_home> its merged?
[11:41:04] <cradek> yes!
[11:41:09] <seb_kuzminsky> :-)
[11:41:18] <pcw_home> Thats great!
[11:41:39] <seb_kuzminsky> pcw_home: do you know what this means:
[11:41:45] <seb_kuzminsky> ERROR:HDLParsers:709 - "/home/seb/hostmot2-firmware.git/twiddle.vhd" Line 194. DumbAss8sqw is not an entity name
[11:42:03] <pcw_home> maybe micges can fix the ARP thing next week
[11:42:30] <seb_kuzminsky> your recent push to hm2 master added some trailing whitespace to that line
[11:46:51] <pcw_home> probably need to add d8o8sqw.vhd to the source list
[11:47:30] <pcw_home> (or change the entity to DumbAss8sqws)
[11:48:03] <seb_kuzminsky> i tried changing the entity name like that, that didnt fix it
[11:48:30] <seb_kuzminsky> i see both d8o8sqw and d8o8sqws are not in the source list, but d8o8sq is
[11:48:45] <pcw_home> add all three
[11:48:48] <seb_kuzminsky> ok
[11:50:49] <pcw_home> sslbp uses the latest processor version (and twiddler could also) usbhm2 uses the oldest
[11:50:51] <pcw_home> and I really dont want to touch its assy code to update
[11:58:33] <jepler> it's the assiest of the dumbass?
[12:00:05] <pcw_home> thats right
[12:07:08] <pcw_home> I blame the name on something JK said bacj when we started hm2
[12:08:25] <jepler> ooh I got arp pinning to work
[12:09:11] <jepler> I think actually just one detail was overlooked (arp_ha.sa_family must be AF_LOCAL = 1, not zero)
[12:11:23] <jepler> pcw_home: is there a request I can send to the 7i80 to read back the hardware address? I assume there must be..
[12:11:26] * jepler reads the docs
[12:11:29] <pcw_home> thats why the sigxxxxx error before?
[12:11:50] <jepler> ah space2
[12:12:35] <pcw_home> Yes from the EEPROM but cant it be read from the ARP table?
[12:13:21] <jepler> In theory it can be read from the ARP table via SIOCGARP (SIOC G(et) ARP), but for that to work you have to fill in the request struct with the right network interface name, and it already has to be cached
[12:13:29] <jepler> SIOCGARP won't actually send an ARP request
[12:19:24] <jepler> > 83490200
[12:19:24] <jepler> < 3780111b6000
[12:20:01] <jepler> so what I am thinking of doing is: send the read command to get the HW address
[12:20:16] <jepler> but first I have the duty to make granola
[12:21:02] <pcw_home> that should work and is universal for out Ethernet FPGA stuff
[12:23:00] <pcw_home> mmm granola
[12:33:45] <jepler> that's good to know
[13:06:07] <KGB-linuxcnc> 03Jeff Epler 05master b4f3f51 06linuxcnc 10docs/man/man9/hm2_eth.9 hm2_eth: note that only one board is supported * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=b4f3f51
[13:06:07] <KGB-linuxcnc> 03Jeff Epler 05master d2e4742 06linuxcnc 10docs/man/man9/hm2_eth.9 10src/hal/drivers/mesa-hostmot2/hm2_eth.c hm2_eth: Fix ARP pinning * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=d2e4742
[13:13:33] <CaptHindsight> the 3.4.55 RTAI worked fibe with the GPU HW accle drivers on the embedded E350 APU's, 3.4.55 and 3.4.9 RTAI are miserable on the new A4-10 APU's with the HW accel drivers and Kernel Mode Setting ON
[13:18:44] <CaptHindsight> 3.4.55 RTAI works as well as the old 2.6.32 on 10.04 on the new A4-A10 APU's when KMS is off and using the llvmpipe driver
[13:31:38] <pcw_home> ? (10.10.10.10) at 00:60:1b:10:40:01 [ether] PERM on eth1
[13:31:39] <pcw_home> Nice!
[13:32:13] <CaptHindsight> same story for 3.4.9 RTAI PAE, latency is low when KMS is off and using the llvmpipe driver
[13:34:08] <jepler> pcw_home: you must have figured out that any existing board_mac= has to be removed from the loadrt line
[13:34:44] <pcw_home> yep
[13:36:07] <jepler> hm probably the right way to not get those multicast dns packets on the dedicate interface is to ifconfig -multicast
[13:36:11] <jepler> +d
[15:19:52] <pcw_home> with the ARP fix hm2-eth runs fine my home machine at 2 KHz (it had troubles at 2 KHz before)
[15:24:38] <pcw_home> I do get these errors sometimes: "line can't have zero length!"
[15:45:39] <cradek> wow, running lathe-pluto out of the sample configs actually still works
[15:46:02] <cradek> but now I'm going to be one of those guys complaining about underpowered hardware (the AXIS update is extremely slow)
[16:05:58] <jepler> cradek: do you know, is "line can't have zero length" something to do with the new TP?
[16:09:16] <pcw_home> I thought I had heard something to that effect, But I dont remember exactly
[16:11:32] <jepler> wow, I can't believe I just wrote and debugged code for hm2_eth to dynamically write iptables firewall rules to restrict access to the hm2 device, from remote, without once writing a firewall rule that borked my local network.
[16:12:22] <jepler> >>
[16:12:22] <jepler> + /sbin/iptables -A hm2-eth-rules-output -p udp -m udp -d 192.168.1.121 --dport 27181 -s 192.168.1.1 --sport 53683 -j ACCEPT
[16:12:26] <jepler> + /sbin/iptables -A hm2-eth-rules-output -o eth1 -j REJECT
[16:13:59] <jepler> wonder if I should go ahead and push this
[16:14:50] <jepler> the unfortunate thing is that it makes even a lazy 1-second 'ping' spew hundreds of times a second: From 192.168.1.1 icmp_seq=7 Destination Port Unreachable
[16:18:10] <KGB-linuxcnc> 03Sebastian Kuzminsky 05master 786823c 06hostmot2-firmware 10build.py reorg for clarity, no code change * 14http://git.linuxcnc.org/?p=hostmot2-firmware.git;a=commitdiff;h=786823c
[16:18:10] <KGB-linuxcnc> 03Sebastian Kuzminsky 05master f64777f 06hostmot2-firmware 10build.py add new vhdl files to "common" list * 14http://git.linuxcnc.org/?p=hostmot2-firmware.git;a=commitdiff;h=f64777f
[16:18:28] <hm2-buildmaster> build #18 of build is complete: Failure [4failed compile] Build details are at http://buildbot.linuxcnc.org/hm2-buildbot/builders/build/builds/18 blamelist: Sebastian Kuzminsky <seb@highlab.com>
[16:18:34] <seb_kuzminsky> pcw_home: did you possibly forget to 'git add' the file that has HM2DPLL in it?
[16:18:37] <seb_kuzminsky> i can't find it
[16:18:39] <seb_kuzminsky> oops
[16:18:50] <pcw_home> hmtimers.vhd
[16:19:33] <pcw_home> its sort of drifted into being a more general phase locked timer thing
[16:19:45] <seb_kuzminsky> 'grep HM2DPLL hmtimers.vhd' returns no lines on my system
[16:20:32] <seb_kuzminsky> hm, but the build gets past that part anyway
[16:20:44] <seb_kuzminsky> ok, thanks! i guess there's something i dont understand about vhdl :-/
[16:21:06] <pcw_home> its a case issue (vhdl doesnt care)
[16:21:14] <seb_kuzminsky> oh! thanks :-)
[16:21:16] <pcw_home> grep hm2dpll
[16:22:00] <KGB-linuxcnc> 03Sebastian Kuzminsky 05master 72fbd16 06hostmot2-firmware 10build.py add another missing dependency * 14http://git.linuxcnc.org/?p=hostmot2-firmware.git;a=commitdiff;h=72fbd16
[16:22:16] <hm2-buildmaster> build #19 of build is complete: Failure [4failed compile] Build details are at http://buildbot.linuxcnc.org/hm2-buildbot/builders/build/builds/19 blamelist: Sebastian Kuzminsky <seb@highlab.com>
[16:22:26] <seb_kuzminsky> ok, new error
[16:22:43] <seb_kuzminsky> if you click on the buildbot link there you'll see the steps it took for the failed build 19
[16:23:05] <seb_kuzminsky> steps 1-6 are all green, but then step 7, "compile", failed
[16:23:20] <seb_kuzminsky> if you click on step 7's stdio link you'll see all the command output, including the error
[16:23:47] <seb_kuzminsky> (it's all jumbled because it's running 8 processes in parallel and not sorting the output apart, yet)
[16:23:53] <seb_kuzminsky> down at the end it says:
[16:24:06] <seb_kuzminsky> Compiling vhdl file "/home/buildslave/hostmot2-buildbot.git/xilinx-webpack/xilinx-webpack/build/fw/5i22-1.5/SVST2_4_7I47.vhd" in Library work.
[16:24:09] <seb_kuzminsky> WARNING:HDLParsers:3350 - "/home/buildslave/hostmot2-buildbot.git/xilinx-webpack/xilinx-webpack/build/fw/5i22-1.5/SVST2_4_7I47.vhd" Line 139. Null range: -1 downto 0
[16:24:12] <seb_kuzminsky> Entity <top> compiled.
[16:24:15] <seb_kuzminsky> ERROR:HDLParsers:851 - "/home/buildslave/hostmot2-buildbot.git/xilinx-webpack/xilinx-webpack/build/fw/5i22-1.5/SVST2_4_7I47.vhd" Line 213. Formal ClockMed of entity with no default value must be associated with an actual value.
[16:24:31] <seb_kuzminsky> and this is where i check out for a couple of hours, i'll be back tonight
[16:29:35] <pcw_home> wrong top level file?
[16:31:08] <pcw_home> Thats a symptom of using current hostmot2.vhd but not using the latest Top9054HostMot2.vhd
[16:33:16] <seb_kuzminsky> ah, ok, there's some funny business with how the top-level files are handled, i'll look at it soonish
[16:35:38] <jepler> seb_kuzminsky, pcw_home: I really appreciate you guys working on this
[16:35:50] <jepler> I know I dropped the ball on it a long time ago, and I apologize
[16:36:50] <seb_kuzminsky> didnt feel like a ball drop to me
[16:36:51] <cradek> jepler: yes it's a new message. are you seeing it at tool change too?
[16:37:03] <pcw_home> its great the Seb is working on this (with your scripts) I'm just pushing files around
[16:37:11] <seb_kuzminsky> you created the build system, that was a great help for me
[16:37:24] <jepler> cradek: no, but I don't run linuxcnc much, just halrun
[16:38:12] <cradek> you're all wonderful; quit bickering
[16:38:31] <cradek> jepler: I don't understand how you saw the zero-length message then
[16:39:19] <jepler> cradek: I was calling your attention to what pcw_home said
[16:39:24] <cradek> ohh
[16:39:25] <jepler> because I thought maybe you knew
[16:39:57] <cradek> yeah I have seen it when changing tools, which issues moves that sure might have zero length
[16:40:07] <cradek> I think it's a bug that it's an error
[16:45:09] <jepler> somebody should do something
[16:46:44] <cradek> I only care about 2.6 right now
[16:46:56] <cradek> someone filing a bug report would be honorable
[16:47:36] <cradek> [we got the car put back together right before the rain started, and now I have beer, yay]
[16:52:36] <micges> jepler: seems I was close to fully working arp code
[16:53:51] <jepler> micges: yes, you were
[17:02:02] <KGB-linuxcnc> 03Jeff Epler 05master 3303a37 06linuxcnc 10docs/man/man9/hm2_eth.9 hm2_eth: correct header in manpage * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=3303a37
[17:02:02] <KGB-linuxcnc> 03Jeff Epler 05master f876f77 06linuxcnc 10docs/man/man9/hm2_eth.9 10src/hal/drivers/mesa-hostmot2/hm2_eth.c hm2_eth: Use iptables to restrict traffic to just us * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=f876f77
[17:04:33] <jepler> so this requires some initial setup of iptables, but beyond that it appears to effectively stop others from sending packets out on the 7i80's dedicated ethernet interface
[17:07:20] <micges> jepler: great stuff, I can't check it now but will someday next week
[17:11:51] <pcw_home> micges: you must be busy moving today
[17:13:07] <jepler> micges: OK, I look forward to it
[17:14:43] <micges> yeah I've been now I'm resting and answering emails, don't have energy to anything else
[17:15:11] <micges> just one day more
[17:17:44] <micges> pcw_home: what;s time out there?
[17:18:00] <pcw_home> ~3:00 PM
[17:20:44] <micges> pcw_home: I've ported latest mf to windows will push when I again find my windows hdd :)
[17:22:22] <pcw_home> :-)
[17:28:11] <cradek> seb_kuzminsky: your kernel doesn't have CONFIG_DRM_I810 (and other similar ones) like config-3.2.0-4-686-pae does. is that an easy fix?
[17:42:20] <Tom_itx> ot a bit but how do you get rid of the 'rat' on the wheezy splash screen?
[17:43:06] <cradek> the keyword xfce might help your googles
[17:43:14] <Tom_itx> ok
[17:43:22] <Tom_itx> i'm not that linux fluent :)
[17:43:27] <cradek> he's their logo I think
[17:43:34] <Tom_itx> bad choice
[17:44:00] <Tom_itx> i set a background and it covers it up
[17:46:11] <jepler> for post-login desktop background, Applications Menu > Settings > Desktop, tab "Background", select "Image: None"
[17:46:28] <Tom_itx> i did but on reboot it reverts to the rat
[17:47:07] <jepler> I forget if there's an image on the login screen, but that program is "lightdm" and I don't think I've ever configured anything about it.
[17:50:14] <Tom_itx> i did get the autologin to work in it
[17:51:16] <Tom_itx> for some reason it thinks it has 2 monitors configured i think
[17:51:29] <cradek> Tom_itx: I bet that autologin thing is going to be a faq. if it was not trivial, would you write a wiki page?
[17:51:34] <Tom_itx> changing the LVDS one changes the rat
[17:51:53] <Tom_itx> the link you gave solved it but i suppose i could
[17:52:15] <Tom_itx> uncomment one line in the .conf and add the desired username
[17:52:58] <cradek> oh ok, don't bother then :-)
[17:53:00] <Tom_itx> not as user friendly as ubuntu so far...
[17:53:24] <Tom_itx> https://wiki.debian.org/LightDM#Enable_autologin
[17:53:32] <Tom_itx> might post that somewhere
[17:53:46] <jepler> Tom_itx: yes, if you have more than one monitor, "background" has an additional set of tabs inside it
[17:53:54] <jepler> you would want to set each one to your preferred background
[17:53:59] <Tom_itx> i don't that i know of though
[17:54:35] <Tom_itx> does the D525 support LVDS? i've never used it...
[17:55:09] <jepler> bbl
[17:55:39] <cradek> oh hey look, I have screw terminals for a jogwheel
[17:55:48] <cradek> I rock
[17:56:20] <Tom_itx> ok in the display settings i just unchecked one of them
[17:56:31] <cradek> well 7-years-ago me rocked
[17:56:45] <cradek> but modern me can't find the wheel
[17:56:50] <Tom_itx> heh
[17:57:00] <Tom_itx> those feedback wheels are awesoem
[17:57:02] <Tom_itx> e
[17:58:29] <Tom_itx> well i just screwed the pooch... turned on the LVDS one and turned off the other one to see and now i have no video
[17:59:06] <Tom_itx> it was like having picture in a picture the way it was
[18:01:31] <cradek> seb_kuzminsky: forget it. it makes no practical difference, 0.4 fps fullscreen with or without it
[19:16:07] <CaptHindsight> the latency problem wit the new APU's is more on the kernel side than the driver side
[19:17:23] <CaptHindsight> with KMS on latency >>100K, with KMS off 18K using Precise, 3.4.9 RTAI
[21:16:38] <skunkworks_> I should stop saying in post that the 5i25 'acts like 2 printer ports on steroids...'
[21:16:42] <skunkworks_> posts
[21:17:12] <Tom_itx> heh
[21:18:26] <pcw_home> well its called Superport on the PCB so its partially my fault
[21:19:27] <Tom_itx> any plans for 'big brothers' for it on the way?
[21:20:11] <pcw_home> NSA big brother?
[21:20:22] <Tom_itx> heh
[21:20:52] <Tom_itx> bigger better versions...
[21:22:56] <pcw_home> well theres the 5I24 and 6I24
[21:23:21] <Tom_itx> huh, transferring files from 10.04 to wheezy i find a thumbdrive to be much slower than a SD on a USB adapter
[21:25:20] <jepler> pcw_home: hm, coming up empty on 6i24. I assume it's intended to be the Express version of the 5i24?
[21:25:58] <pcw_home> Yes
[21:28:14] <pcw_home> I'm itching to make a card with the Artix chips
[21:28:38] <pcw_home> only problem is the smallest one is pretty big
[21:29:37] <Tom_itx> what makes them stand out over what you're using?
[21:30:19] <pcw_home> faster and denser mainly also 2x 1 ms/sec 12 bit A-Ds
[21:30:51] <Tom_itx> are those still xilinx chips?
[21:30:58] <jepler> Tom_itx: http://www.xilinx.com/products/silicon-devices/fpga/artix-7/
[21:31:37] <pcw_home> the cheapest one is $30.00 dollarish
[21:32:12] <Tom_itx> strictly bga i suppose
[21:32:52] <pcw_home> I think so but am not sure
[21:33:10] <pcw_home> native PCIE gen2.0
[21:33:32] <jepler> yeah I am only spotting bga packages in that pdf
[21:34:01] <jepler> ball pitch 0.5 - 1.0mm
[21:34:26] <Tom_itx> board cost goes up too then
[21:34:31] <Tom_itx> more layers
[21:34:43] <pcw_home> once you get above a certain speed the long wiggly power and ground wiring of QFPs becomes a major problem
[21:35:01] <pcw_home> too much ground bounce
[21:35:35] <jepler> the analog part sounds nice
[21:35:37] <pcw_home> we use BGAs all the time on 4 layer
[21:36:05] <pcw_home> split power planes of course
[21:36:11] <Tom_itx> right
[21:36:17] <jepler> but the biggest mass of people who want analog inputs (reprap types) are mostly too cost-averse to buy nice electronics
[21:37:03] <cradek> jepler: I was at the shop today and for some reason all my spare opto22 modules are white and black - no reds.
[21:37:12] <cradek> lots of whites of different speeds
[21:37:53] <pcw_home> If they made smaller ones I would try the for motor control, as it is a STM32 with a 4x
[21:37:54] <pcw_home> 5ms/sec 12 bit A-Ds is cheaper that a A-D chip that does the same
[21:38:21] <Tom_itx> speaking of analog inputs... the saleae logic analizer now offers +-10v analog
[21:38:40] <Tom_itx> dunno if any of you use them
[21:39:57] <pcw_home> ack... after last change hm2_eth gives real time errors at startup at 2 KHz
[21:40:09] <jepler> pcw_home: uh oh
[21:44:26] <jepler> pcw_home: so it tested OK after I added the arp pinning, and then tests bad after the iptables change?
[21:45:07] <pcw_home> well not sure its really bad and I dont have the filter installed
[21:45:35] <pcw_home> just different (no complaints before)
[21:46:19] <pcw_home> Yes the arp pinning was fine
[21:47:02] <pcw_home> how do I add that filter?
[21:47:05] <jepler> so at startup I imagine you're seeing:
[21:47:06] <jepler> Note: Using POSIX realtime
[21:47:09] <jepler> and
[21:47:09] <jepler> hm2_eth: WARNING: Unable to restrict other access to the hm2-eth device.
[21:47:13] <jepler> ?
[21:47:55] <pcw_home> Yes
[21:48:44] <jepler> hm
[21:48:53] <jepler> in that case, the stuff in the last commit shouldn't make any difference
[21:49:02] <pcw_home> hm2_eth: loading Mesa AnyIO HostMot2 ethernet driver version 0.2
[21:49:04] <pcw_home> Unexpected realtime delay on task 0
[21:49:05] <pcw_home> This Message will only display once per session.
[21:49:07] <pcw_home> Run the Latency Test and resolve before continuing.
[21:49:08] <pcw_home> hm2_eth: WARNING: Unable to restrict other access to the hm2-eth device.
[21:49:10] <pcw_home> This means that other software using the same network interface can violate
[21:49:11] <pcw_home> realtime guarantees. See hm2_eth(9) for more information.
[21:49:13] <pcw_home> hm2_eth: Hardware address: 00:60:1b:10:40:01
[21:49:33] <jepler> double hmm
[21:50:48] <jepler> well, what is new is that the setup code calls system()
[21:51:56] <jepler> maybe that turns out to be not OK
[21:54:15] <jepler> (but damnit it should be OK)
[21:58:32] <jepler> yes, I'm reproducing here that with period1=500000 and the latest change, there are frequently realtime delays at startup
[22:09:05] <pcw_home> I didn't do lot of testing here but probably started about 10 times before the latest change
[22:09:06] <pcw_home> without realtime delay errors and now is seems to do it about 7 times out of 10
[22:19:16] <skunkworks_> pcw_home: did you see running 7i80 and 5i25 at the same time? http://pastebin.com/YvyCzHXt
[22:21:22] <pcw_home> No, that must be the first time thats been tested :-)
[22:24:35] <skunkworks_> it is magic
[22:27:16] <jepler> hm2/hm2_7i80.0: Watchdog has bit! (set the .has-bit pin to False to resume)
[22:27:25] <jepler> now I keep seeing this immediately on start
[22:29:09] <jepler> though .. I think it's bogus
[22:42:23] <pcw_home> any bad read (bad alignment etc) might give the kind of an error
[22:42:25] <pcw_home> I think micges was going to add a cookie read to the end of the read packet as a sanity check
[22:42:42] <pcw_home> s/the kind/that kind/
[22:44:20] <jepler> this is actually an uninitialized memory read in the hal driver
[22:44:50] <pcw_home> Well thats not good...
[22:45:31] <pcw_home> I dont think I have seen that particular error
[22:46:55] <pcw_home> I think same had some of those in early testing (not sure if its the same cause or not)
[22:47:14] <pcw_home> s/same/Sam/
[23:10:39] <KGB-linuxcnc> 03Jeff Epler 05master cf4f689 06linuxcnc 10src/hal/drivers/mesa-hostmot2/tram.c hostmot2: initialize new tram region to zero * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=cf4f689
[23:10:39] <KGB-linuxcnc> 03Jeff Epler 05master a8409d5 06linuxcnc 10src/hal/drivers/mesa-hostmot2/hm2_eth.c hm2-eth: system() is harmful to realtime priority * 14http://git.linuxcnc.org/?p=linuxcnc.git;a=commitdiff;h=a8409d5
[23:11:00] <jepler> pcw_home: I'll read back tomorrow if you happen to test with those changes ^^
[23:11:11] <jepler> I was able to load 20 times at 2kHz and not see a realtime delay message
[23:32:23] <pcw_home> I'll try in a bit
[23:47:48] <pcw_home> OK started about 20 times No problem Thanks!!