#garfield Logs

Jul 24 2021

#garfield Calendar

12:28 AM rue_shop3: yes! ok
12:35 AM rue_shop3: its sending the address properly and the rom is responding
12:35 AM rue_shop2: so, now I just need to capture the data!
01:12 AM rue_shop3: yes!
01:15 AM rue_shop2: I successfully captured back the data
01:19 AM rue_shop3: wtf
01:21 AM rue_shop2: oh duh
01:22 AM rue_shop2: everything is always happening, right
01:50 AM rue_shop3: hahah I took out the clock divider, and, at even 4Mhz, the eeprom is like WHAT?
01:51 AM rue_shop3: tho, to be fair, the pullups probably aren't fast enough at those speeds
03:10 AM rue_shop3: heh 31 broken off bits in the cnc pile
03:43 AM Tom_L: morning
03:45 AM Tom_L: 31 seems like alot
03:49 AM rue_bed: its a few years worth
03:49 AM rue_bed: lots of 1mm
03:49 AM rue_bed: since we changed to 1/8" its been better
03:50 AM rue_bed: so I'm on vaccation till next tuesday
03:50 AM rue_bed: I need to make good use of my time
03:50 AM rue_bed: to become independantly wealthy so I dont need to work
03:50 AM rue_bed: so I can play
03:51 AM rue_bed: the cpld i2c is going great
03:51 AM rue_bed: totally working, need to clean up the code
03:51 AM Tom_L: nice
03:52 AM rue_bed: I want to use it to make a rom fsm
03:52 AM rue_bed: not sure what to have the fsm do yet
03:54 AM Tom_L: what did that other one do?
04:08 AM Tom_L: uh oh i lost him
09:14 AM Tom_L: yard done, showertime
10:19 AM rue_shop3: which other one?
10:21 AM Tom_L: fsm
11:35 AM Tom_L: sean ever see those parts?
12:31 PM aandrew: We are writing to confirm that we have processed your refund in the amount of CDN$ 0.02 for your Order 702-8332010-6455423 from SunZi Products.
12:31 PM aandrew: woo, thanks for that.
12:53 PM rue_shop3: yes
12:53 PM rue_shop3: he loves them
12:54 PM rue_shop3: will try the A9/10
01:04 PM Tom_L: so you have an alternate source if needed
01:30 PM rue_mohr: :)
01:30 PM rue_mohr: see if they all bend ok!
01:31 PM rue_mohr: went garage saling
01:31 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200318.jpg
01:31 PM rue_mohr: not seen this style of bit before
01:32 PM Tom_L: what'd he think of the chamfered parts?
01:32 PM rue_mohr: I guess its 100% forgable
01:32 PM Tom_L: no that's an old one
01:32 PM rue_mohr: said the latches look great
01:32 PM rue_mohr: the tip shape is interesting
01:32 PM rue_mohr: with the prescore side
01:33 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200319.jpg
01:33 PM rue_mohr: got a grab bag too
01:33 PM rue_mohr: $5 seems worth it
01:33 PM Tom_L: chamfer bits
01:33 PM Tom_L: yup
01:33 PM rue_mohr: Some of those are either military, or aviation?
01:33 PM Tom_L: unless they're all dull
01:33 PM Tom_L: can't tell, the pic is too small
01:33 PM rue_mohr: I have the power to sharpen 'em all up
01:33 PM rue_mohr: oh
01:34 PM Tom_L: garden variety for sure
01:45 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200321.jpg
01:48 PM rue_mohr: aviation?
03:03 PM Tom_L: yeah more than likely
03:04 PM Tom_L: now you can build an airplane!
03:46 PM rue_mohr: haha
03:50 PM rue_mohr: coool, the auto wrecker has a motorbike that looks good for a steam engine base
03:50 PM rue_mohr: two cyl, side-by-side parallel
03:50 PM rue_mohr: removable cylinders
03:51 PM Tom_L: bmw opposing?
03:51 PM rue_mohr: dunno
03:51 PM rue_mohr: it was not a V
03:51 PM Tom_L: pancake like the old vw
03:52 PM rue_mohr: I thought all 2 cyl motorbikes were a V
03:52 PM rue_mohr: oh not completely opposing
03:52 PM rue_mohr: II
03:52 PM rue_mohr: from the front
03:52 PM Tom_L: could be anything then
03:52 PM Tom_L: btw, that mower engine was a kawasaki
03:53 PM rue_mohr: :)
03:53 PM Tom_L: i found the carb box while looking for something
03:54 PM rue_mohr: have to let my brain relax a bit...
03:54 PM rue_mohr: too many neuons at once
03:56 PM Tom_L: workin on the cpld?
04:12 PM rue_shop3: yes
04:12 PM rue_shop3: and sleeping
04:12 PM rue_shop3: I'm multitasking
04:12 PM rue_shop3: I'm trying to make a module to divide down the main clock
04:13 PM rue_shop3: and its giving me issues because of how I'm trying to do it
04:13 PM rue_shop2: module i2cClocker (Clk, bitSelect) ;
04:13 PM rue_shop2: output reg [7:0] bitSelect ;
04:13 PM rue_shop2: input wire Clk;
04:13 PM rue_shop2:
04:13 PM rue_shop2: initial begin
04:13 PM rue_shop2: bitSelect = 7'b0;
04:13 PM rue_shop2: end
04:13 PM rue_shop2:
04:13 PM rue_shop2: always @(negedge Clk)
04:13 PM rue_shop2: bitSelect = (bitSelect == 98) ? 0 : bitSelect + 1;
04:13 PM rue_shop2:
04:13 PM rue_shop2: endmodule
04:13 PM rue_shop2: i2cClocker CK1 ((BitTimer == 0) ? 1 : 0, bitSelect) ;
04:13 PM rue_shop2: ok, its actually the next level clock after the divide-down
04:14 PM rue_shop2: each time I hit compile I can take a nap
04:15 PM Tom_L: that slow?
04:16 PM rue_shop2: oh yea
04:25 PM Tom_L: maybe you should play with it on a snappier machine
04:26 PM Tom_L: i probably shouldn't ask you to synthesize one of the mesa ones then...
04:34 PM rue_shop3: the state machine I wrote for a guy doing an engine controller is coughing, he think he knows where
04:34 PM rue_shop3: I need to redesign those bearing holders for the plasma cnc
04:35 PM rue_shop3: and there is a blender coupler that needs *one more rev*
04:35 PM rue_shop2: good thing I'm on vaccation
04:35 PM rue_shop2: so if I take the canopy off my truck today
04:36 PM rue_shop2: and tommorow me and ma can go into (other town) and get rid of the scrap wood
04:36 PM rue_shop2: then on monday I can go to the scapper and get the motorbike
04:38 PM rue_shop2: ok, and I'm not sure how to do the secondary divider on this clpld
04:38 PM rue_shop2: lsjfljkasdfljksaf
05:00 PM Tom_L: :)
05:00 PM rue_shop2: I think I'v worked out pulling clocks off other signals
05:02 PM rue_shop2: fit failed!? thats new
05:16 PM Tom_L: which bearing holders?
05:17 PM Tom_L: the ones you cast?
05:17 PM rue_shop2: the 3d printed things for the plasma cutter
05:17 PM Tom_L: oh
05:17 PM rue_shop2: the i2c uses 58 macro cells
05:17 PM Tom_L: the square in a round hole
05:17 PM rue_shop2: yes
05:17 PM rue_shop2: but I have a 12 bit clock divider
05:17 PM rue_shop2: so
05:18 PM rue_shop2: I think that means I'm at 46 macro cells
05:18 PM rue_shop2: my goal was about 31
05:18 PM rue_shop2: so I need to explain off more of them
05:20 PM Tom_L: how many do you have?
05:20 PM rue_shop2: 288
05:21 PM rue_shop2: but I want to fit the core into about 31
05:21 PM rue_shop2: lets see here tho
05:21 PM rue_shop2: I need 8 for capturing the bits, then 8 as a unified latch for them
05:21 PM rue_shop2: so thats 16
05:21 PM rue_shop2: I need 7 for counting off bit positions
05:21 PM rue_shop2: thats 23
05:22 PM rue_shop2: now, address latch, so I say its someone elses latch?
05:22 PM rue_shop2: cause thats 16 bits
05:26 PM rue_shop2: hmm I cant have two edges control one value...
05:39 PM Tom_L: what about using rising and falling?
05:39 PM Tom_L: it's all fuzzy for me now
05:41 PM rue_mohr: hmm
05:41 PM rue_mohr: let me try it
05:41 PM Tom_L: you gonna make me dig it out aren't ya
05:41 PM rue_shop2: wire anticlock = ~clk;
05:42 PM rue_shop2: er, maybe not
05:42 PM Tom_L: doesn't sound right
05:42 PM rue_shop2: no wait
05:42 PM rue_shop2: the problem is that I ahve two signals that need to contronl the same value
05:42 PM rue_shop2: I have to clear my heat
05:42 PM rue_shop2: head
05:42 PM Tom_L: https://electronics.stackexchange.com/questions/376607/using-both-clock-edges-in-an-fpga-design
05:42 PM rue_shop2: always @(negedge Clk)
05:42 PM rue_shop2: bitSelect = (bitSelect == 98) ? bitSelect : bitSelect + 1;
05:43 PM rue_shop2: this causes bitSelect to be incremented to 98
05:43 PM rue_shop2: but I want an edge source to trip a reset back to 0
05:43 PM rue_shop2: on antoher signals
05:43 PM rue_shop2: "start"
05:43 PM rue_shop2: I do not want it level controlled
05:44 PM rue_shop2: but I'v had this issue before with RS latches
05:44 PM rue_shop2: there is another paradigm to use
05:45 PM rue_shop2: I did the washing on friday so I could put the clothes on the line and save some gas, ma slipped them into the dryer today while I wasn't looking
05:45 PM rue_shop2: arg
05:45 PM rue_shop2: it took me 3 weeks to remember to do them on friday
05:46 PM Tom_L: haha
05:48 PM rue_shop2: ok wait
05:48 PM rue_shop2: latches have a reset
05:49 PM rue_shop2: outside of their other operations
05:49 PM rue_shop2: how do I say I'm not loading a value, I'm resetting
05:49 PM rue_shop2: I think I need to just try things
05:50 PM Tom_L: https://electronics.stackexchange.com/questions/467375/vhdl-inferred-latch-with-reset-fsm
05:51 PM rue_shop2: https://paste.debian.net/1205498/ that fails
05:51 PM rue_shop2: "multiple drivers"
05:51 PM rue_shop2: god this thing needs more ram
05:51 PM rue_shop2: freaking browser-hogs
05:51 PM Tom_L: https://www.xilinx.com/support/documentation/university/Vivado-Teaching/HDL-Design/2015x/VHDL/docs-pdf/lab5.pdf
05:52 PM rue_shop2: I cant open a bunch of links right now
05:52 PM rue_shop2: its already using a gig of swap?
05:53 PM Tom_L: last one shows how to model various flipflops
05:54 PM Tom_L: FDCE D Flip-Flop with Asynchronous Clear and Clock Enable
05:54 PM Tom_L: FDPE D Flip-Flop with Asynchronous Preset and Clock Enable
05:54 PM Tom_L: FDRE D Flip-Flop with Synchronous Reset and Clock Enable
05:54 PM Tom_L: LDCE Transparent Latch with Asynchronous Clear and gate Enable
05:54 PM Tom_L: LDPE Transparent Latch with Asynchronous Preset and gate Enable
05:54 PM Tom_L: summary
05:54 PM rue_shop2: https://paste.debian.net/1205498/
05:54 PM rue_shop2: so, without having multiple drivers, how do I reset the value
05:54 PM rue_shop2: I think I need an intermediate edge latch for start
05:56 PM rue_shop2: see if I was doing it in hardware, yea i"d have the same problem
05:56 PM rue_shop2: cant have two edges change the value of a latch
05:56 PM Tom_L: at least you're thinking 'hardware'
05:57 PM rue_shop2: another design issue is that I dont want it to be able to be "restarted" while its operating
05:57 PM rue_shop2: which means start has to be level triggered
05:58 PM rue_shop2: always @(negedge Clk)
05:58 PM rue_shop2: bitSelect = (bitSelect == 98) ? (start == 1) ? 0: bitSelect : bitSelect + 1;
05:58 PM rue_shop2: which means, that
05:58 PM rue_shop2: which isn't what I want, but might be all I'll get
05:59 PM rue_shop2: heh, the source is 800 warnings, but working ok }:]
06:01 PM rue_shop2: I'm trying to make
06:01 PM rue_shop2: i2cEepromRead(clk, address, data, start, done)
06:02 PM rue_shop3: its still working
06:05 PM rue_shop2: is the one you have a 9572
06:05 PM rue_shop2: ?
06:05 PM rue_shop2: or the 4000?
06:10 PM Tom_L: 108
06:10 PM Tom_L: xc95108
06:10 PM Tom_L: then the spartan 6
06:10 PM rue_shop2: oh yea
06:11 PM rue_shop2: I ahve a few of those
06:11 PM Tom_L: the cpld it tiny
06:11 PM rue_shop2: sure
06:11 PM rue_shop2: but non-volatile
06:11 PM rue_shop2: no boot time
06:11 PM Tom_L: but you're worried about write cycles
06:12 PM rue_shop2: yea, datasheet says 1000
06:12 PM rue_shop2: I have the spartin fpga still
06:12 PM Tom_L: oh
06:12 PM rue_shop2: I just dont have it set up
06:12 PM Tom_L: i have both and neither are set up
06:19 PM rue_shop2: Oooo
06:20 PM rue_shop2: https://paste.debian.net/1205500/
06:20 PM rue_shop2: what do you think so far?
06:25 PM rue_shop2: so, that way, the address and downcounter is not part of the i2c system
06:25 PM rue_shop2: 11 bits on the divider
06:25 PM rue_shop2: 16 bits on the address
06:26 PM rue_shop2: so 27 bits aren't mine
06:26 PM rue_shop2: uses 50 macro cells...
06:26 PM rue_shop2: so I'm at 23 macro cells for the i2c
06:27 PM rue_shop3: dont recall what my goal was
06:27 PM rue_shop3: heh, but I broke it
06:27 PM rue_shop3: I wonder where
06:32 PM rue_shop2: wire V;
06:33 PM rue_shop2: ^^ I keep forgetting to make large values have more than 1 bit
06:33 PM rue_shop2: wire [7:0] V;
06:33 PM rue_shop2: lets try that
06:34 PM rue_shop2: ok, it looks like all the remaining warnings are about signals I'm not using
06:35 PM rue_shop3: yea that was it
06:35 PM rue_shop3: I'v done that about half a dozen times now
06:36 PM rue_shop2: damnit 57 now
06:36 PM rue_shop2: so 30 macro cells for the i2c
06:39 PM rue_shop2: I should change how that data capture works tho
06:43 PM Tom_L: hmm
07:23 PM rue_shop3: so ok
07:23 PM rue_shop3: I have 512kbits of memory on a cpld
07:23 PM rue_shop3: should I have it play some audio?
07:23 PM rue_shop3: a fsm is nice and all, if I had one to implement
07:25 PM rue_shop3: I'm tempted to set up the other fpga eh?
07:26 PM rue_shop2: 8kHz mono, 8 bits
07:26 PM rue_shop2: 64kbits/sec
07:26 PM rue_shop2: so 8 seconds of audio
07:28 PM rue_shop2: hmm
07:28 PM rue_shop2: 8kHz * 100cycles/read
07:28 PM rue_shop2: not quite 1MHz, so I think thats ok
07:29 PM Tom_L: may as well, you're gonna run out of space to play
07:30 PM rue_shop2: well, the 9572 could do *this* and more
07:30 PM Tom_L: read the bits from a flash and play them
07:30 PM rue_shop2: yea
07:30 PM rue_shop2: pwm doesn't take much
07:32 PM rue_shop2: maybe I need a break for a bit
07:32 PM rue_shop2: I have a few 3d objects to tune the design of
07:33 PM Tom_L: need help?
07:41 PM rue_mohr: hah, yea I dont know what shape to make the parts :)
07:43 PM Tom_L: parts for what?
07:44 PM rue_mohr: 5.7 and 13.7
07:44 PM rue_mohr: for someones blender
07:44 PM Tom_L: hole sizes?
07:44 PM rue_mohr: not that simple
07:44 PM rue_mohr: splines they sent me with the overall length wrong
07:44 PM Tom_L: no pics?
07:44 PM rue_mohr: but I have the blender now
07:45 PM Tom_L: shall i fire up catia? or watch
07:45 PM rue_mohr: overall orig length 31
07:45 PM rue_mohr: not much you can help me with here
07:45 PM rue_mohr: 31 was 14.4 too long
07:46 PM rue_mohr: so new is 17 long
07:46 PM Tom_L: so 16.6 length
07:46 PM rue_mohr: :) ok
07:46 PM Tom_L: this is a coupler?
07:46 PM Tom_L: to couple a motor shaft to something?
07:46 PM rue_mohr: yes
07:47 PM rue_mohr: I think I sent ya a pic
07:47 PM Tom_L: what shape is something?
07:47 PM Tom_L: nope
07:47 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage
07:47 PM rue_mohr: 1 sec
07:47 PM rue_mohr: huh
07:47 PM rue_mohr: give me a bit
07:47 PM * Tom_L fires up catia
07:48 PM rue_mohr: what I dont get tho
07:48 PM rue_mohr: is that the design says the peices conflict
07:48 PM Tom_L: motor shaft size?
07:48 PM Tom_L: and is it O or D shape
07:49 PM Tom_L: i forgot i screwed up catia...
07:49 PM Tom_L: it won't come up right
07:50 PM rue_mohr: looks like they meat, but shouldn't conflict
07:50 PM rue_mohr: the motor is a *
07:50 PM rue_mohr: with a round-lobie thing
07:50 PM Tom_L: kinda like the tiny one?
07:51 PM rue_mohr: hold on
07:53 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/Untitled.jpg
07:53 PM rue_mohr: he sent me a dxf, I'm just trying to adjust the length
07:54 PM rue_mohr: I'm not sure how it was long by a length that would cause the two shafts to overlap
07:54 PM Tom_L: i'm trying to fix catia
07:54 PM Tom_L: then i can import the dxf
07:55 PM rue_mohr: heh if you like, I think I ahve it
07:55 PM Tom_L: go ahead then
07:55 PM Tom_L: i need to fix catia anyway
08:04 PM rue_mohr: that prints started, I'll work on that holder
08:05 PM Tom_L: ok i think it's fixed
08:06 PM rue_mohr: ok so
08:06 PM rue_mohr: how do I not get the depth of these wrong for a 5th time
08:06 PM Tom_L: i was doing something the other day and screwed it all up
08:06 PM Tom_L: send me a dxf
08:07 PM rue_mohr: ok its got layers tho
08:07 PM Tom_L: i'll see what it does
08:08 PM Tom_L: shit, i don't have libera set up on my 64bit ssd
08:08 PM Tom_L: hang on
08:09 PM rue_mohr: http://ruemohr.org/~ircjunk/objects/main.dxf
08:10 PM rue_mohr: ok
08:10 PM rue_mohr: one at a time
08:11 PM rue_mohr: I have a plate thats 9mm thick
08:11 PM rue_mohr: I have a 22mm bearing I want to protrude 5mm thru it
08:11 PM Tom_L: http://ruemohr.org/~ircjunk/objects/main.dxf
08:11 PM rue_mohr: the shaft is 8mm
08:11 PM Tom_L: for the other me
08:12 PM rue_mohr: so the outter ring is 11mm-4mm
08:12 PM Tom_L: what is that first part?
08:13 PM rue_mohr: which one?
08:13 PM Tom_L: the first dxf
08:13 PM rue_mohr: the coupler or the bearing holder
08:13 PM rue_mohr: that dxf is the blender coupling
08:13 PM rue_mohr: but the length is WAY wrong
08:13 PM rue_mohr: I think the overall length should be 17mm
08:13 PM rue_mohr: I'm printing it now
08:15 PM rue_mohr: my material is 9mm thick, I have a 22mm bearing to protrude 5mm thru it, the center of the bearing should be
08:15 PM Tom_L: didn't come in right, lemme try sw
08:16 PM Tom_L: all i got was a round circle
08:16 PM rue_mohr: some layers might have been off
08:16 PM rue_mohr: look for visabilites turned off
08:17 PM Tom_L: dunno how to do that on an imported file
08:17 PM rue_mohr: hmm
08:17 PM rue_mohr: they should be there
08:17 PM rue_mohr: take a dig, what program are you opening it with?
08:18 PM Tom_L: acad, catia, solidworks
08:19 PM rue_mohr: ok, so, in autocad
08:19 PM rue_mohr: find the layer tab
08:19 PM Tom_L: hang on i don't have an active copy on that pc
08:19 PM rue_mohr: there is a visible field you can toggle
08:19 PM rue_mohr: ok, well what did you open it in!?
08:22 PM Tom_L: acad says it's incomplete
08:23 PM rue_mohr: hu?
08:23 PM rue_mohr: will it fix it or just reject it?
08:23 PM rue_mohr: they do not seem to be masters of their own format
08:23 PM Tom_L: it came in acad blank
08:23 PM rue_mohr: ugh
08:23 PM rue_mohr: want to try librecad?
08:24 PM rue_mohr: for kicks?
08:24 PM Tom_L: no, i'm not gonna load it
08:24 PM rue_mohr: well lets pick a different program
08:24 PM rue_mohr: what did you use that just loaded the circle
08:24 PM Tom_L: catia or sw
08:24 PM rue_mohr: which was it?
08:25 PM Tom_L: i tried both with the same result
08:25 PM rue_mohr: ok!
08:25 PM rue_mohr: so lets focus on catia
08:26 PM rue_mohr: Invisible layers are gathered in a filter
08:26 PM rue_mohr: named Invisible Imported Layers.
08:26 PM rue_mohr: ""
08:26 PM rue_mohr: https://forums.autodesk.com/t5/fusion-360-design-validate/importing-dxf-with-hidden-layers-how-to-show-the-hidden-content/td-p/7011657
08:27 PM rue_mohr: wait, thats not catia
08:27 PM rue_mohr: screw you google
08:27 PM Tom_L: no it comes in as a drawing file
08:27 PM Tom_L: nevermind
08:27 PM Tom_L: all that is in the drawing is a circle
08:28 PM rue_mohr: no
08:28 PM rue_mohr: all thats vis is the circle cause thats the last thing I adjusted
08:28 PM rue_mohr: 1 min
08:28 PM Tom_L: ok i got it
08:28 PM Tom_L: nevermind
08:29 PM rue_mohr: it mashes all the layers togethor for you doesn't it?
08:29 PM Tom_L: except it's showing way too much now
08:29 PM rue_mohr: yea, this should not be something their software is challanged with...
08:29 PM Tom_L: i've never had to do it
08:30 PM Tom_L: so i didn't know where to find it
08:30 PM rue_mohr: hmm
08:30 PM rue_mohr: I suppose most of the time I already have everything on
08:31 PM Tom_L: i fogot how to move it from the drawing file to the part file now too
08:31 PM Tom_L: :)
08:31 PM Tom_L: ok i got it
08:31 PM rue_mohr: hah
08:31 PM rue_mohr: this is a good practise run
08:32 PM Tom_L: what's the square part around it?
08:32 PM Tom_L: and do i want the inner or outer profile?
08:32 PM Tom_L: it has 2 sets of lines
08:32 PM rue_mohr: so, one end is a square drive
08:33 PM rue_mohr: the other is the funny shaped thing
08:33 PM Tom_L: and do i want the inner or outer profile?
08:33 PM Tom_L: it has 2 sets of lines
08:33 PM rue_mohr: there are two sets of lines cause I had to open it up, he did 0 clearance
08:33 PM rue_mohr: I added 0.25mm clearance
08:33 PM Tom_L: so which set do you want me to use?
08:33 PM Tom_L: the outter one i presume
08:33 PM rue_mohr: yup
08:34 PM Tom_L: gimme a sec
08:34 PM Tom_L: what are the circles on the square corners for?
08:34 PM rue_mohr: its back-off for the printer
08:34 PM rue_mohr: aka, dont 'round the corners
08:38 PM Tom_L: ok now
08:38 PM Tom_L: you need a circle around the funny shape?
08:39 PM Tom_L: what diameter?
08:39 PM rue_mohr: thats the outside
08:39 PM Tom_L: but you need it to print it
08:40 PM Tom_L: so what diameter is it?
08:40 PM Tom_L: and you need something around the square
08:40 PM rue_mohr: radius 11.7515
08:40 PM Tom_L: too small
08:41 PM Tom_L: it's inside the squiggles
08:41 PM rue_mohr: hu?
08:41 PM Tom_L: oh hang on
08:41 PM rue_mohr: yer layers are mixed up I think
08:41 PM Tom_L: no
08:41 PM Tom_L: scale
08:41 PM rue_mohr: the layers relivent are 10, 11, 3
08:42 PM rue_mohr: the square is 12.5650 side-side
08:42 PM Tom_L: 11.7515mm is inside the funny shape
08:43 PM rue_mohr: oh the root of the shape?
08:43 PM rue_mohr: 12.5625
08:43 PM rue_mohr: so sure
08:43 PM Tom_L: the inside diameter of the spoke part is .247"
08:43 PM rue_mohr: ok
08:43 PM Tom_L: that's the inside of the spoke part
08:44 PM Tom_L: the diameter
08:44 PM Tom_L: the 12
08:44 PM Tom_L: 12.56xx
08:44 PM Tom_L: is that diameter
08:44 PM rue_mohr: yes
08:44 PM Tom_L: i need a circle outside the whole spoke set
08:44 PM Tom_L: to create a pocket
08:45 PM rue_mohr: its layer 3
08:45 PM Tom_L: i'm way beyond layers at this point
08:45 PM rue_mohr: radius 11.7515
08:46 PM Tom_L: that's better
08:46 PM Tom_L: i misread that as diameter
08:46 PM Tom_L: ok what's the length of this part of the part?
08:47 PM rue_mohr: maybe 17mm
08:47 PM rue_mohr: I'll know after this next one is printed
08:49 PM rue_mohr: ooo its printed...
08:53 PM rue_mohr: hey it fit, want a 3d file to check your answer on?
08:53 PM rue_mohr: see, I dont know if the 3d printed plastic will work out
08:53 PM rue_mohr: its kinda brittle
08:53 PM rue_mohr: might need to machine this
08:54 PM rue_mohr: I can export an OFF, STL, AMF, or CSG
08:54 PM rue_mohr: ?
08:55 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/rue/blender_coupler/coupler1.jpg
08:55 PM Tom_L: now i need the bottom
08:55 PM rue_mohr: :)
08:55 PM Tom_L: that's 17mm
08:55 PM rue_mohr: maybe I think it did the chunkey thing on you again
08:56 PM rue_mohr: I dont recall how you fixed that
08:56 PM Tom_L: no, catia just does that visually
08:56 PM rue_mohr: ok
08:56 PM rue_mohr: gonna print these bearing holders and hope their right
08:56 PM Tom_L: how big a square outside the square?
08:57 PM rue_mohr: round
08:57 PM Tom_L: how round?
08:57 PM rue_mohr: same
08:58 PM rue_mohr: its a cylinder with two shapes in it
08:59 PM Tom_L: so the whole thing is 17mm long?
08:59 PM Tom_L: half and half?
08:59 PM rue_mohr: nope
08:59 PM Tom_L: how long is the square part?
08:59 PM rue_mohr: the square end is
08:59 PM * Tom_L does a drumroll
09:00 PM rue_mohr: 4.6mm deep and the lobe end is 12mm deep
09:00 PM rue_mohr: and those almost overlap
09:00 PM rue_mohr: I think I actually backed them off so they wouldn't
09:01 PM rue_mohr: then the printer joined the holes anyhow
09:02 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/rue/blender_coupler/coupler2.jpg
09:02 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/rue/blender_coupler/coupler3.jpg
09:03 PM Tom_L: now what?
09:07 PM rue_mohr: I think that looks right
09:07 PM rue_mohr: do you want to try to mill one?
09:07 PM rue_mohr: probably boring for you
09:07 PM rue_mohr: you got all that register-and-flip thing totally down
09:07 PM rue_mohr: I think you should try a circuit board
09:08 PM Tom_L: what material?
09:08 PM rue_mohr: hahah I keep trying to grab and rotate the image
09:08 PM Tom_L: :)
09:08 PM rue_mohr: some kinda non-shatter plastic
09:08 PM rue_mohr: its a coupler for a blender
09:08 PM Tom_L: i dunno what i have that size
09:08 PM rue_mohr: probably more durable than nylon
09:08 PM Tom_L: some old nylon maybe
09:09 PM rue_mohr: I dunno
09:09 PM Tom_L: that's about all i have
09:09 PM * rue_mohr shrugs
09:09 PM rue_mohr: I'll see how the 3d printed one does for them
09:09 PM rue_mohr: want to see it?
09:09 PM Tom_L: sure
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200323.jpg
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200324.jpg
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200325.jpg
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200326.jpg
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200327.jpg
09:12 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200328.jpg
09:14 PM rue_mohr: I can export an OFF, STL, AMF, or CSG, do you know if you can import any of those?
09:14 PM Tom_L: probably stl
09:14 PM Tom_L: dunno about the rest
09:14 PM rue_mohr: wanna try? to compare?
09:15 PM Tom_L: the problem with the printed part is the layers and the force from the blender
09:15 PM rue_mohr: yes
09:15 PM Tom_L: i'll post a stl for you
09:15 PM rue_mohr: and the PLA is brittle, it forms cracks
09:16 PM rue_mohr: it would be ok if there were no impacts
09:16 PM rue_mohr: but, it is a blender
09:16 PM Tom_L: it's in that dir
09:16 PM rue_mohr: heh
09:16 PM rue_mohr: ah
09:17 PM rue_mohr: mmm it did come thru a bit low res tho...
09:18 PM rue_mohr: here...
09:19 PM rue_mohr: http://ruemohr.org/~ircjunk/objects/coupler-r4-s.stl
09:19 PM rue_mohr: see if you can open that one
09:20 PM rue_mohr: stl is all polygones, on the programs I use, I dial them way up
09:20 PM rue_mohr: I have is set to 188facets/360degrees
09:20 PM rue_mohr: sometimes higher
09:22 PM Tom_L: you've got a floor on those
09:22 PM Tom_L: not hollow thru
09:22 PM rue_mohr: yep, I thought the printer would need it
09:22 PM rue_mohr: but the slicer ignored it
09:22 PM rue_mohr: and it printed ok anyhow
09:23 PM Tom_L: heh
09:23 PM rue_mohr: lots of times it doesn't like the overhangs
09:23 PM rue_mohr: so I put a re-coup layer in
09:23 PM Tom_L: sw imported that, catia wouldn't
09:23 PM rue_mohr: huh
09:23 PM rue_mohr: I wonder what catia didn't like
09:26 PM Tom_L: dunno
09:27 PM Tom_L: sw wouldn't process it as iges out either
09:35 PM rue_mohr: ok I need to go make 8mm pins
09:35 PM Tom_L: huh, it brought it in as an assembly part but not as an individual part
09:35 PM Tom_L: odd
09:43 PM rue_shop3: cute
09:43 PM rue_shop3: does it look any finer?
09:52 PM Tom_L: yeah
09:52 PM Tom_L: but it doesn't save as a part
10:16 PM rue_mohr: I should get the solar power monitor working
10:16 PM rue_mohr: I dont recall what the issue was
10:19 PM Tom_L: i didn't measure it but i wonder what size cutter would fit inside those lobes?
10:21 PM rue_mohr: kinda small
10:31 PM Tom_L: lost pla casting :)
10:31 PM rue_mohr: yea!
10:31 PM rue_mohr: actually I have a small list of castings to do
10:58 PM rue_mohr: uh ho
10:58 PM rue_mohr: doing a steam engine calculator
10:58 PM rue_mohr: 100.000000 psi operating pressure
10:58 PM rue_mohr: 2.000000 HP
10:58 PM rue_mohr: 900.000000 RPM
10:58 PM rue_mohr: ------------------------
10:58 PM rue_mohr: 2.163092 liters/sec steam by power
10:58 PM rue_mohr: 129.785544 liters/min steam by power
10:58 PM rue_mohr: 11.671362 foot*lbs torque by power
10:58 PM rue_mohr: 0.144206 liters/rev steam per rotation
10:59 PM rue_mohr: oh maybe
10:59 PM rue_mohr: tahts 144cc
10:59 PM rue_mohr: so back to 200psi
10:59 PM rue_mohr: 200.000000 psi operating pressure
10:59 PM rue_mohr: 2.000000 HP
10:59 PM rue_mohr: 900.000000 RPM
10:59 PM rue_mohr: ------------------------
10:59 PM rue_mohr: 1.081546 liters/sec steam by power
10:59 PM rue_mohr: 64.892772 liters/min steam by power
11:00 PM rue_mohr: 11.671362 foot*lbs torque by power
11:00 PM rue_mohr: 0.072103 liters/rev steam per rotation
11:00 PM rue_mohr: 72.103080 cc steam per rotation
11:00 PM rue_mohr: so a cylinder is double-acting
11:00 PM rue_mohr: meaning if it was a 1 cyl engine, you would consume half that
11:01 PM rue_mohr: 36 for one-half cycle 36 the other (not quite, but close enough)
11:01 PM rue_mohr: a 2 cyl would divide that again
11:01 PM rue_mohr: 18cc for a 2 cyl
11:02 PM rue_mohr: huh, this gets complex tho
11:02 PM rue_mohr: the cylinder has a period thats its not admiting or exhausting
11:02 PM rue_mohr: so
11:03 PM rue_mohr: something is out
11:03 PM rue_mohr: it needs an admission time in %
11:03 PM rue_mohr: I think 80% is a good typical
11:03 PM rue_mohr: how does that work then
11:03 PM rue_mohr: you have 64.892772 liters/min
11:04 PM rue_mohr: the engine is consuming it 80% of the time
11:05 PM rue_mohr: the liters/rev are the same
11:06 PM rue_mohr: but the cc changes
11:06 PM rue_mohr: or I just seperate out cylinder vol all togethor
11:08 PM rue_mohr: so the engine consumes
11:08 PM rue_mohr: oh well, the numbers so far are just an average
11:08 PM rue_mohr: the peak is all that changes
11:09 PM rue_mohr: you get steam rushing in at more than 64.89liters/min by the duty
11:10 PM rue_mohr: in this case pulses up to 81L/min
11:11 PM rue_mohr: oh I think I just worked out multiple-expansion engines
11:11 PM rue_mohr: oh dear
11:16 PM rue_mohr: a 2.5" piston would need a stroke of less than 6mm
11:33 PM rue_mohr: if I use an engine with a 1" crank, the piston dia would need to be bit more than 2cm
11:55 PM Tom_L: 1" crank journal?
11:55 PM Tom_L: 2cm seems small for that