#garfield Logs

Jul 18 2021

#garfield Calendar

12:13 AM rue_shop2: I got it!
12:20 AM rue_shop2: 5 serial transmitters
12:20 AM rue_shop2: using up like 8% of the cpld
12:20 AM rue_shop2: total
04:02 AM rue_mohr: <rue_shop2> dia 24.4mm
04:02 AM rue_mohr: <rue_shop2> inner 11mm safe
04:19 AM rue_mohr: so that thing really took off on twitter there
04:19 AM rue_mohr: wow
04:19 AM rue_mohr: its so random
04:22 AM Tom_L: morning
04:24 AM rue_mohr: heh
04:25 AM rue_mohr: I designed that peice
04:25 AM rue_mohr: its printing, I'll check it tommorow
04:28 AM rue_mohr: so, hmmm
04:28 AM rue_mohr: an i2c memeory reader on that cpld...
04:29 AM rue_mohr: a few fsm levels
04:32 AM rue_mohr: I need to get better at building and using modules
04:33 AM Tom_L: makes it handier
04:33 AM rue_mohr: yea
04:34 AM rue_mohr: the serial per channel was cute
04:34 AM rue_mohr: each channel was a 10:1 select switch
04:34 AM rue_mohr: start, 8 bits, stop
04:34 AM rue_mohr: with a global counter working out which bit was current
04:35 AM rue_mohr: and another counter downclocking the 33MHz
05:20 AM Tom_L: wonder how hard interrupts would be
05:21 AM Tom_L: i suppose they're not really needed on a parallel processor
07:28 AM polprog: rue_mohr: http://projects.scorchingbay.nz/dokuwiki/electronic/ccd/start
07:28 AM polprog: Some datasheets including the TCD103C
07:29 AM polprog: I have to give it a try later today
12:48 PM rue_mohr: polprog, hey
12:48 PM rue_mohr: for fast 12V level converter
12:48 PM polprog: hey
12:48 PM rue_mohr: fet drivers
12:49 PM rue_mohr: low side
12:49 PM polprog: I got the 40109 running
12:49 PM rue_mohr: oh good
12:49 PM rue_mohr: what was wrong?
12:49 PM polprog: But ill keep that in mind. Maybe the dual fets in dip could be useful for that
12:49 PM polprog: It worked first try
12:49 PM rue_mohr: no, fet drivers
12:49 PM polprog: ah
12:49 PM rue_mohr: they take logic levels and convert them to 12V
12:49 PM polprog: I dunno.. ULN2003 would be too slow
12:50 PM rue_mohr: no, actual fet drivers
12:50 PM polprog: i havent tried them
12:50 PM rue_mohr: low side fet drivers
12:50 PM polprog: ah
12:50 PM polprog: you mean like some IC not jellybean FETs?
12:50 PM rue_mohr: of the IRxxxx family
12:50 PM rue_mohr: yea
12:50 PM polprog: i dont think i have one
12:50 PM rue_mohr: IR2113
12:50 PM rue_mohr: I think you do
12:51 PM rue_mohr: not sure the 2113 is the right one tho
12:51 PM polprog: hmm rings a bell
12:52 PM polprog: I should take one day where i just put all the ICs in a stock database
12:52 PM rue_mohr: no just put them in drawers by some sorting sytem
12:53 PM rue_mohr: the drawrs are automatically updated when you remove a part without having to make sure the network works
12:55 PM rue_mohr: I'll go thru the ccds and see what I have
01:07 PM Tom_L: so
01:07 PM Tom_L: what are we gonna do with all these ccds?
01:35 PM rue_mohr: I wanted to use one on a line following robot
01:35 PM rue_mohr: not that I'v done one in quite a while, but that idea still interests me
01:44 PM rue_mohr: SH - sample/hold
01:44 PM rue_mohr: RS row select?
01:45 PM rue_mohr: I dont get what dos is for
01:47 PM rue_mohr: hmm shift gate
02:45 PM Tom_L: Rally Sport?
02:46 PM rue_mohr: the ccd datasheet ;)
02:47 PM rue_mohr: touching up the design for the bearing spacers
02:48 PM Tom_L: a tube would do there
02:48 PM rue_mohr: ? draw...
02:48 PM Tom_L: bearing spacer
02:49 PM rue_mohr: oh its... complex
02:49 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/cnc/Skate_truck/Spacer1.jpg
02:49 PM Tom_L: bearing spacer
02:49 PM Tom_L: don't make simple what can be hard....
02:49 PM Tom_L: err...
02:50 PM rue_mohr: its not a horiz spacer
02:51 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/Untitled.png
02:51 PM Tom_L: bearing carrier
02:52 PM Tom_L: bearing sits in the middle?
02:52 PM Tom_L: bolts from the top?
02:53 PM Tom_L: printed or machined?
02:54 PM rue_mohr: printed
02:54 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200299.jpg
02:54 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200300.jpg
02:54 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1200301.jpg
02:54 PM Tom_L: cause you'd have trouble machining the bearing cutout
02:54 PM rue_mohr: there
02:54 PM rue_mohr: I'm going to machine a retianer cap for the back of it
02:54 PM rue_mohr: which is why I have the nice 3.175mm radii
02:55 PM Tom_L: i see why the holes are too big for the bearing now
02:55 PM Tom_L: 24.4mm
02:55 PM rue_mohr: :)
02:55 PM Tom_L: instead of 22
02:55 PM rue_mohr: I'd not need this trick if I had better toolz!
02:56 PM rue_mohr: like my milling machine...
02:57 PM Tom_L: you can't cut a pocket for that to sit down in?
02:57 PM Tom_L: to keep it from turning
02:57 PM Tom_L: even a shallow pocket
02:57 PM rue_mohr: material is too big
02:57 PM Tom_L: i know a guy...
02:58 PM rue_mohr: I'll make another peice with the pocket that bolts on top
02:58 PM rue_mohr: and clamps it down
02:58 PM rue_mohr: :)
02:58 PM Tom_L: i know what you're doing now
02:58 PM Tom_L: extra work but i get it
02:58 PM rue_mohr: this is the Y carriage for the cnc plasma
02:58 PM Tom_L: how thick is that angle?
02:59 PM rue_mohr: one leg is 9mm and the other is 14mm!
02:59 PM Tom_L: where'd you find that!
02:59 PM rue_mohr: on a motor from the thrift store
02:59 PM rue_mohr: :)
03:01 PM Tom_L: they've got some odd extrusion at the scrap yard sometimes but i doubt that thick
03:01 PM Tom_L: some you can tell was for door or window frame etc
03:01 PM Tom_L: others wtf
03:06 PM rue_mohr: heh, almost messed up, had a gap in the model that I just noticed after starting the print
03:09 PM Tom_L: shouldn't take long to print that
03:11 PM rue_mohr: I'm doing a heavy print
03:11 PM rue_mohr: that pla is actually pretty impressive
03:11 PM Tom_L: 100% ?
03:11 PM rue_mohr: haha
03:11 PM rue_mohr: no you cant even go that far
03:12 PM rue_mohr: the print hits 100% before its at "100%"
03:12 PM Tom_L: you can't?
03:12 PM rue_mohr: "100%" is just a biig oozed pile
03:12 PM Tom_L: oh
03:12 PM rue_mohr: :)
03:12 PM Tom_L: they should adjust the percentages
03:12 PM rue_mohr: but the plastics compression strength is really impressive
03:12 PM rue_mohr: well, it could be my printer
03:12 PM rue_mohr: :)
03:13 PM Tom_L: how will it hold up in the environment it will be exposed to?
03:25 PM rue_mohr: fine, I'v not seen anything within reason fail yet
03:25 PM rue_mohr: even out of reason
03:25 PM rue_mohr: my bathroom sink stainer is still fine
03:25 PM rue_mohr: you have a drillpress eh?
03:31 PM Tom_L: yeah
03:31 PM Tom_L: not a great one but yeah
03:32 PM rue_shop3: want to play wth injection molding?
03:32 PM Tom_L: not especially
03:32 PM Tom_L: why?
03:32 PM rue_shop3: but you could make molds for things
03:32 PM Tom_L: i could
03:33 PM rue_shop3: it could be some entertainment
03:33 PM Tom_L: limited space
03:33 PM rue_shop3: drillpress
03:33 PM rue_shop3: the idea is that you set up a heated cylinder
03:33 PM rue_shop3: nozzel on the bottom
03:33 PM Tom_L: i already have abused it
03:34 PM rue_shop3: you throw in some material, let it soften up, and use the press to squirt it in
03:34 PM rue_shop3: shouldn't be a lot of force
03:34 PM rue_shop3: the depth is the main thing
03:34 PM Tom_L: bench top press
03:35 PM rue_shop3: if its got the depth
03:36 PM rue_shop3: I made the cylinder, but am having issues with how to heat it
03:36 PM rue_shop3: if Iz in russia, I'd probably just put a torch on it
03:38 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/cnc/Mill_Steel/Drillpress.jpg
03:45 PM Tom_L: imbed the mica windings from a toaster into your casting sand around the tube
03:49 PM rue_shop3: ooo tall one!
03:49 PM rue_shop3: I like the old round, spinnable beds tho
03:49 PM rue_shop3: hmm
03:49 PM rue_shop3: 1985?
03:49 PM Tom_L: no clue
03:49 PM Tom_L: old
03:49 PM Tom_L: wasn't tall enough for that job :)
03:49 PM rue_shop3: its not on the front plate? I was surprised mine was
03:50 PM rue_shop3: should have seen my trying to squeeze things in yesterday
03:50 PM Tom_L: never bothered to look
03:50 PM rue_shop3: alsmost had to take the table apart for the extra height
03:50 PM Tom_L: google ogden heater rods on ebay
03:50 PM Tom_L: or ali
03:51 PM Tom_L: https://www.ebay.com/itm/272138123861?_trkparms=ispr%3D1&hash=item3f5cb23a55:g:qLIAAOSwx-9Wxett&amdata=enc%3AAQAGAAACkPYe5NmHp%252B2JMhMi7yxGiTJkPrKr5t53CooMSQt2orsSlHY%252FYTip4QFVjNQrCEJt6uXIGSBUy3BanO%252FJNGZmooW6zR3nVjpt%252FYU4zld6XWWN7Z4TImKmyGEMdw4zONVq8hcYw4t%252FPXgq7em%252F%252BehkhZ6aaXzPUJoxmfsrEi0pdntyboTjiNXnxgtAiS8Yp1mq0r46m3iQUg4%252BQ1wNaSaQLHfssCZMZ6XicGIzMgQNolb1I898AbjpTqCZgkXwxfsgL5peosbcomYzA4wYXuJVPdosVOLnZjqYOJxcBl0i
03:51 PM Tom_L: tuqJyaZhkldic%252ByAHf9jVPnwSK1Ewq2nrBTRwHl3g%252FaMdKv0ZvbWx0po6d0K3%252F5ZA9kBolSvVrIdHSgErwKojVLVp5YZuKH5NoKHKZCPUEfUER3tbDn7gJy%252FOzgxLT7xADJxUiyj7wkLzWSVgJV0kXlL3zgTctgpA2boUEtTCcYsj4dL6qRFoxNDk6xV5qINkargW8iBFI4c%252FnXMVZWUztmzwNG2SgqMy1BYRG%252Bi82vPZEIBVTuT5IlGYZIldSiWHiigPbwtPvCzaP9uPdEBjpiMyuGrU3GtkGYu2%252F8TT7FsfXpx4DnZBWGF9KA%252BYvYOaFNavWDmrhek7CxaPPXg8oP8k2o3ZwFS%252BBhwd77B%252Be%252BtIbnJOiRsQXJxRD8jYP61cJIuVmn183G1Qj1lC
03:51 PM Tom_L: Oo8q9ucAo7L4XSWuWCf7OmqKGNlF96D67C8sBLckw2qPX7qcZ4ERicIS198u6kSEkMRCOdnh55sZsNb%252ByhVKzKmD4CUg9EMTVJDucT0DWqhYJ6A7VXTXhYFMhMN%252BU1HnXlvrZlXIc726qNdojBFqUoHyqU%252F9ZwTy37BxmvzFoZCus9UJucr%7Campid%3APL_CLK%7Cclp%3A2334524
03:51 PM Tom_L: jeebus
03:51 PM polprog: a/wg 56
03:51 PM rue_shop3: na
03:51 PM rue_shop3: $$$
03:51 PM rue_shop3: you can get pipe heaters too
03:51 PM rue_shop3: dont like the look of the safety on them
03:52 PM Tom_L: 15 bux
03:52 PM polprog: sorry, mistyped a command
03:52 PM Tom_L: https://www.ebay.com/itm/272138123861
03:55 PM aandrew: man you guys are all busy
03:56 PM rue_mohr: sometimes
03:56 PM Tom_L: seldom
03:56 PM aandrew: I just had to buy a couple tables and rennovate my hotel room: https://ibb.co/0YCfscx
03:56 PM aandrew: they gave david and I two rooms, but each room has two beds and a shitty single round table
03:56 PM rue_mohr: hah aebay
03:56 PM rue_mohr: I cant buy from ebay
03:57 PM rue_mohr: nice view
03:57 PM aandrew: so I moved the table over the other room (where we sleep) and moved the fridge/microwave from that room here (so this room has 2x fridge/microwave) and shoved one of the beds here waaay over to make more room. now i have a small, but workable space
03:57 PM rue_mohr: yer there for a while eh?
03:58 PM aandrew: yeah you could take a picture of some communist block in romania and it'd look the same out the window
03:58 PM aandrew: rue_mohr: yeah, 3-4 weeks
03:58 PM rue_mohr: remember, none of the poor hotel staff know how to hook up those electronics stuffs so be sure to put that bit back for them :)
03:59 PM Tom_L: https://www.aliexpress.com/item/32955986505.html?spm=a2g0o.productlist.0.0.53d97812MqlVS1&algo_pvid=a3360121-5e77-42a7-a611-9a3c9ac3bc5e&algo_exp_id=a3360121-5e77-42a7-a611-9a3c9ac3bc5e-20
03:59 PM rue_mohr: sure but how you gonna heat a pipe with it?
03:59 PM rue_mohr: my leading idea is to do inductive heating
03:59 PM rue_mohr: but I burned out the inductive driver I got
04:00 PM aandrew: rue_mohr: lol yeah
04:00 PM rue_mohr: I'm pretty sure I should have spaced it off the pipe more than the thickness of the wire insulation
04:00 PM aandrew: rue_mohr: why can't you buy on ebay?
04:00 PM rue_mohr: I cant buy stuff on ebay, it always goes wrong
04:00 PM aandrew: ah
04:00 PM rue_mohr: like them taking the payment, and not telling the seller it was sold
04:01 PM Tom_L: haha i like this guy, he draws like rue: https://hackaday.com/2021/01/01/diy-injection-molding-press/
04:01 PM rue_mohr: and not putting it in my purchase history
04:01 PM rue_mohr: then giving me the run=-around for days because its not a buyer or seller problem
04:01 PM rue_mohr: then turning me over to paypal to have it resolved
04:02 PM rue_mohr: oof, enough pressure?
04:02 PM aandrew: interesting injection molding machine
04:02 PM rue_mohr: huh ok
04:03 PM Tom_L: https://www.instructables.com/Plastic-injection-molding-and-extruding/
04:03 PM Tom_L: he used those heat tubes
04:05 PM rue_mohr: https://www.techkits.com/products/model-150a/model_150a.jpg
04:05 PM Tom_L: https://www.aliexpress.com/item/32844312036.html?spm=a2g0o.productlist.0.0.53d97812MqlVS1&algo_pvid=a3360121-5e77-42a7-a611-9a3c9ac3bc5e&algo_exp_id=a3360121-5e77-42a7-a611-9a3c9ac3bc5e-40
04:06 PM rue_mohr: this really just tells me I should heat it however and get it all working
04:07 PM Tom_L: yeah just don't set it on fire
04:07 PM Tom_L: oh btw
04:07 PM Tom_L: you have a mold to test with
04:08 PM rue_mohr: like the dead bug cpld, just do it :)
04:08 PM rue_mohr: that goofy thing got over 200 likes
04:08 PM rue_mohr: so did powering it with the steam engine
04:08 PM Tom_L: really?
04:08 PM rue_mohr: yea
04:09 PM rue_mohr: I was just being silly with the steam powered generator, but people loved it
04:09 PM rue_mohr: no accounting for taste
04:09 PM rue_mohr: a whole bunch of new people followed me, I'm almost at like 1700
04:10 PM rue_mohr: 1600 over the best I thought I'd ever see
04:10 PM Tom_L: you won't need irc pretty soon
04:10 PM aandrew: yeah the steam engine thing was funny
04:10 PM rue_mohr: hah, twitter gets 0 points for real-time
04:11 PM rue_mohr: a buddy wants me to do a 2HP generator for his camping trips
04:11 PM rue_mohr: its completely plausable
04:11 PM rue_mohr: I'm still trying to work out electronic valving
04:12 PM rue_mohr: for 200psi high flow
04:14 PM rue_shop3: I'm wondering if I should try the lost pla casting on this engine pan I need to make for the big robot
04:14 PM Tom_L: if you can control warpage
04:19 PM rue_shop3: heh, its aluminum, you just beat it with a hammer :)
04:19 PM Tom_L: i did that a bit on a couple steel plates on the mill
04:20 PM rue_shop3: applied carefully, hammers can do great things
04:24 PM Tom_L: just ask a body shop
04:24 PM Tom_L: :)
04:26 PM rue_shop3: hmm, so i2c on a cpld
04:27 PM rue_shop3: I temporarily have a saw I need to use to cut a lot of cement for a wall
04:28 PM Tom_L: diamond cutter?
04:28 PM rue_shop3: yep
04:28 PM rue_shop3: 1cz41h
04:28 PM Tom_L: for what wall?
04:29 PM rue_shop3: hmmm
04:29 PM rue_shop3: a retainer wall at the back of the yard
04:29 PM rue_shop3: the top caps for it
04:29 PM Tom_L: mmm
04:29 PM Tom_L: use water on the blade
04:29 PM rue_shop3: its a good saw, it goes thru concrete like butter
04:29 PM rue_shop3: yep, its got its own sump and pump
04:29 PM Tom_L: gas powered?
04:30 PM rue_shop3: no, 240V
04:30 PM Tom_L: huh
04:30 PM Tom_L: you know all about water and 240v
04:30 PM rue_shop3: yea
04:31 PM Tom_L: don't want you do dissappear like z and kat
04:32 PM rue_shop3: nope
04:32 PM rue_shop3: oh it was z's birthday I think
04:32 PM Tom_L: today?
04:32 PM Tom_L: no idea
04:36 PM polprog: who was kat?
04:37 PM Tom_L: a gal from Alabama
04:37 PM Tom_L: rather inventive
04:38 PM rue_shop3: really good with fabrication dispite being somewhat physically disabled
04:38 PM Tom_L: limited mobility
04:40 PM polprog: oh
04:41 PM polprog: its always sad to see people disappear
04:41 PM polprog: i new a copier service enginner from iowa
04:41 PM polprog: one day he just disappeared
04:41 PM Tom_L: here you never know why
04:41 PM Tom_L: z gave us a clue though
04:42 PM Tom_L: kat kinda did
04:42 PM rue_shop2: kat came and left a lot
04:43 PM rue_shop2: hmm, I wonder how you do open collector outputs on an fpga
04:44 PM Tom_L: z was military, fell in a parachute accident and was shortened what 3"? and never was the same after that
04:44 PM aandrew: rue_shop2: i2c on an FPGA I've done, not done on CPLD before though
04:44 PM rue_shop2: shoudl be same
04:44 PM rue_shop2: but I'm gonna pair it right down, as I have no extra space
04:45 PM aandrew: rue_shop2: on an FPGA you just have out <= '0' and out_oe = '1' or '0'
04:45 PM Tom_L: https://electronics.stackexchange.com/questions/443498/vhdl-what-is-correct-way-to-model-open-collector-output-for-fpga
04:45 PM aandrew: the tool may also be able to infer if you use out <= '0' and out <= 'Z' too
04:45 PM rue_shop2: hows the out_oe configured in the ucf file?
04:45 PM rue_shop2: Z!
04:45 PM rue_shop2: ok
04:46 PM aandrew: you make the output buffer very very very very simple
04:46 PM rue_shop2: I"ll try that
04:46 PM aandrew: out <= '0' when out_input = '1' else 'Z' type of thing
04:47 PM aandrew: er sorry
04:47 PM aandrew: buf <= '0' when buf_in = '0' else 'Z'
04:47 PM rue_shop2: assign Red = Z
04:47 PM aandrew: din't mean to invert that on you
04:48 PM rue_shop2: hmm nope
04:48 PM rue_shop2: oh hmm
04:48 PM aandrew: no this isn't UCF, this is the logic
04:48 PM rue_shop2: output Red;
04:48 PM aandrew: your UCF isn't fancy, just Red is 3.3V output
04:48 PM rue_shop2: what do I call an IO line...
04:48 PM aandrew: gotta run
04:49 PM Tom_L: and i can't remember now!
04:49 PM rue_shop2: the google results are loading..
04:50 PM rue_shop2: inout
04:52 PM rue_shop2: aha
04:52 PM rue_shop2: ok yea looking good
04:52 PM rue_shop2: inout Red;
04:52 PM rue_shop2: assign Red = 1'bZ;
04:52 PM Tom_L: you're in webpack?
04:55 PM rue_shop2: yep
04:59 PM rue_shop2: not sure how to conditionally toggle this tho
04:59 PM rue_shop2: latch vs wire gives me issues sometimes
05:01 PM Tom_L: too bad we didn't decide to work on those at the same time
05:01 PM rue_shop2: I only recently got all the stuff to be able to do it
05:02 PM Tom_L: my cpld board is quite old now
05:03 PM rue_shop2: assign vs = vs <=
05:03 PM rue_shop2: what chip is on it?
05:03 PM Tom_L: small one
05:04 PM Tom_L: XC95108
05:04 PM Tom_L: about half the size of yours
05:04 PM rue_shop2: my 95 or my 288?
05:05 PM rue_shop2: I have a lot of the 95
05:05 PM Tom_L: i thought they were all xc95 series
05:06 PM rue_shop2: yes
05:06 PM Tom_L: 108 cells
05:06 PM rue_shop2: 9572 and 95288
05:06 PM rue_shop2: I have a 32108 too
05:06 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx2.jpg
05:06 PM rue_shop2: nice
05:06 PM Tom_L: old
05:07 PM rue_shop2: so?
05:07 PM rue_shop2: enough for a few pwm channels for sure
05:07 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx1.jpg
05:07 PM Tom_L: switches leds etc
05:07 PM Tom_L: jtag on board
05:08 PM rue_shop2: yea
05:08 PM rue_shop2: always @(bitTimer[25])
05:08 PM rue_shop2: if (bitTimer[25])
05:08 PM rue_shop2: assign Red = 1'bZ ;
05:08 PM rue_shop2: else
05:08 PM rue_shop2: assign Red = 1'b0 ;
05:08 PM rue_shop2: I'm doing this wrong
05:09 PM rue_shop2: maybe output tri Red
05:09 PM rue_shop2: no
05:10 PM rue_shop2: because its not a register
05:10 PM rue_shop2: output trireg Red
05:10 PM rue_shop2: no
05:11 PM Tom_L: https://www.xilinx.com/support/documentation/user_guides/ug445.pdf
05:11 PM rue_shop2: aha, it has to be inout
05:12 PM Tom_L: maybe P9
05:12 PM polprog: the CCD works!!!!
05:12 PM rue_shop2: yay
05:12 PM rue_shop2: where did that pdf go?
05:12 PM Tom_L: well somebody did good
05:13 PM polprog: 14:14 < polprog> rue_mohr: http://projects.scorchingbay.nz/dokuwiki/electronic/ccd/start
05:15 PM Tom_L: https://www.xilinx.com/support/documentation/sw_manuals/help/iseguide/mergedProjects/destech/html/cd_configuring_i_o.htm
05:15 PM Tom_L: might help
05:15 PM Tom_L: attributes at the bottom
05:16 PM rue_shop2: oh now it takes it
05:18 PM rue_shop2: I'll get the hang of this yet
05:21 PM Tom_L: you don't need this but it's a pretty good beginner howto: http://dangerousprototypes.com/docs/CPLD_intro_1:_Light_a_LED
05:22 PM rue_shop2: it works! cool
05:23 PM rue_shop3: 2 of the 3d prints are ready
05:23 PM rue_shop3: wow I completely blew the numbers
05:24 PM rue_shop3: damnit
05:25 PM rue_shop2: wrong my miles
05:26 PM rue_shop2: however, I have an open collector output on the cpld
05:26 PM rue_shop2: so I just need to set up a 48 bit shift register
05:31 PM rue_shop2: oooo complex
05:31 PM rue_shop2: I cant just make a register with all the bits to send
05:31 PM rue_shop2: cause the clocks all have to happen in the right order
05:31 PM rue_shop2: hmm how do i do this
05:31 PM rue_shop2: its like each time slice needs clock and data
05:32 PM rue_shop2: or I feed events into an fsm
05:33 PM rue_shop2: hmmm ponder ponder
05:34 PM rue_shop3: ok this bearing holder is out by 14mm...
05:40 PM polprog: https://twitter.com/polprogpl/status/1416886564110618634
05:41 PM polprog: vijeo one tweet earlier
06:20 PM rue_mohr: oo
06:28 PM polprog: goodnight :)
06:28 PM rue_shop2: gnight
06:28 PM polprog: i got hungry, staying up too late again
06:29 PM rue_shop2: I'll get to twitter in a while
06:29 PM rue_shop2: a buddy just dropped off the head of the lawn tractor
06:30 PM rue_shop2: it looks like when they installed the intake seat, it didn't fully seat, so after some usage it slipped back into the casting, not seating with the valve anymore
06:30 PM rue_shop2: so he re-honed it for me, I get to re-assemble the engine
06:31 PM rue_shop2: trying to fix the 3d print for hte bearing holders, and figure out how to do the i2c
06:31 PM rue_shop2: I think I need 3 slots per time
06:39 PM Tom_L: irl or just on the cpld?
06:45 PM rue_mohr: I just need to play the serial eeprom an address and catch its data back
06:45 PM rue_mohr: but, i2c timing is fancy
06:45 PM rue_mohr: I have an idea
06:46 PM Tom_L: slave or master?
06:47 PM rue_mohr: master, but I dont need a complete implementation
06:47 PM rue_mohr: the full implementations are huge
06:47 PM Tom_L: https://www.xilinx.com/support/documentation/application_notes/xapp385.pdf
06:47 PM rue_mohr: I just need to play it an address and get back the data
06:47 PM rue_mohr: I dont care about errors or bus sharing or anything
06:49 PM Tom_L: https://forum.digikey.com/t/i2c-master-vhdl/12797
06:50 PM rue_mohr: tight, but I can do better, I'm sure of it
06:51 PM rue_mohr: its got clock stretching and everything
06:51 PM Tom_L: yeah i saw that
06:51 PM Tom_L: i figured you might get an idea about the clock cycles etc from it
06:52 PM rue_mohr: yep, I plan to be quite static about this
06:52 PM Tom_L: last one is pretty short
06:52 PM rue_mohr: it just wiggles the pins and listens
06:52 PM rue_mohr: I can beat it
07:03 PM Tom_L: just cleaned out the condenser coils on the AC... full of cottonwood
07:03 PM Tom_L: hot n humid out.
07:04 PM Tom_L: try to do that once a year but i may have missed last year
07:04 PM rue_mohr: is that a tree of yours?
07:04 PM Tom_L: it's a tree but not mine
07:05 PM Tom_L: they're all over the place here
07:05 PM rue_mohr: mm
07:05 PM rue_mohr: ugh, not like that rue
07:06 PM rue_mohr: I can get this part right }:|
07:07 PM rue_mohr: R4....
07:16 PM rue_mohr: ok, the new version is printing
07:17 PM rue_mohr: and lets see, lawn tractor
07:20 PM Tom_L: still haven't got that worked out?
07:20 PM Tom_L: was the coil bad or ok?
07:38 PM rue_mohr: it seems to be fine
07:38 PM rue_mohr: when we took a leak tester to the thing, the intake wouldn't stop giving up air for that bad cylinder
07:39 PM rue_mohr: hence finding the intake seat that had to be reground
07:39 PM rue_mohr: dosn't seem they did a good job on these engines
07:42 PM Tom_L: hmm
07:43 PM Tom_L: is the insert on the intake set gonna stay put?
07:43 PM Tom_L: s/set/seat
07:54 PM rue_shop3: 160inch-lbs in foot-lbs
07:54 PM rue_shop3: 13.333
07:55 PM rue_shop3: oof break out a magnifier on these bars
10:23 PM rue_shop3: ooo their wrong again
10:23 PM rue_shop3: I'm gonna spend my life redoing these
10:24 PM Tom_L: what now?
10:32 PM rue_shop3: clearance needs to be 5mm not 2
10:35 PM Tom_L: valves hitting?
10:35 PM rue_shop3: thats 8 heavy duty prints for that bearing that weren't right
10:35 PM rue_shop3: I'm wasting a lot of plasic here
10:35 PM rue_shop3: no the plasma cutter cnc with the bearing holders
10:35 PM Tom_L: you did them all at once?
10:43 PM rue_mohr: the last 3 times were supposed to be final corrections, this is FRUSTRATING
10:43 PM rue_mohr: after relapping the valves on that cylinder are still leaking badly
10:44 PM Tom_L: are they bent?
10:44 PM rue_mohr: I finished re-assembling it, I'll run it a bit and see how it does
10:44 PM rue_mohr: he said they seemed good
10:44 PM rue_mohr: its much better than it was
10:44 PM Tom_L: k
10:44 PM Tom_L: i used to do that sort of thing in another life
10:46 PM rue_mohr: I'm never gonna buy a b&S without insisting on a compression test first
10:46 PM Tom_L: yeah my mower isn't briggs
10:47 PM Tom_L: i forget what it is but not briggs
10:47 PM Tom_L: had it over 25 yrs
10:54 PM rue_mohr: whats the deck made of?
10:59 PM Tom_L: steel
10:59 PM Tom_L: it's a snapper
11:00 PM Tom_L: push mower
11:00 PM Tom_L: i wanna say it's a kawasaki motor but i forget
11:00 PM Tom_L: it's got an oil filter on it
11:00 PM rue_shop2: japanese?
11:02 PM rue_shop2: I think the best thing to do, is to set up an avr to read addresses from an eeprom, record the waveforms, and just make something that plays them back
11:03 PM Tom_L: probably japan i'd guess
11:03 PM rue_shop2: that would explain it
11:03 PM rue_shop3: that or germany
11:03 PM Tom_L: it was an industrial one when i got it
11:03 PM Tom_L: i don't like to go cheap on things like that
11:04 PM Tom_L: they last
11:04 PM Tom_L: i just got a new set of blades for it couple weeks ago
11:04 PM Tom_L: i swap em out and i finally wore out the blades
11:05 PM Tom_L: i did replace the carb once
11:17 PM rue_mohr: I forgot to do the laundry
11:17 PM rue_mohr: so I get to stay up late I guess
11:19 PM Tom_L: woops
11:31 PM rue_mohr: ok, the i2c code is written
11:31 PM rue_mohr: see if it works
11:35 PM rue_mohr: after once again having to stuff food into me