#garfield Logs

Nov 16 2020

#garfield Calendar

04:55 AM polprog: so now you are generating stuff with C programs?
06:09 AM polprog: https://cdn10.picryl.com/photo/2004/01/05/us-air-force-usaf-staff-sergeant-ssgt-eric-scurry-40th-expeditionary-communications-c32d30-1600.jpg
06:09 AM polprog: thats a nice buttset
06:09 AM polprog: i got a krone/lsa test block plug for my small telco setup some time ago
07:05 AM rue_bed: hmmm 66
07:06 AM rue_bed: polprog, yea, with a few functions to make life easier
07:06 AM rue_bed: done from C
07:06 AM rue_bed: like I say, if you have to compile it anyhow
07:07 AM polprog: yeah
07:08 AM rue_bed: https://paste.debian.net/1172696/
07:09 AM rue_bed: basically, there is an include for the special functions, but yea
07:10 AM rue_bed: I'm not totally sold, but it opens up what you can do
07:10 AM polprog: looks ok to me
07:11 AM rue_bed: I can send you the include files with the other fns if you want to play
07:11 AM polprog: sure :)
07:12 AM rue_bed: that is for a 5 bit version of the servo controller
07:12 AM rue_bed: not sure I'll build it, but it was a system test
07:13 AM rue_bed: spliceValueFromField( &ramp, A, 5, 0,1,2,3,4);
07:13 AM rue_bed: makes a value called ramp from 5 bits of A, bits 0,1,2,3,4
07:14 AM rue_bed: bit 0 being the lsb
07:46 AM polprog: there's a joke about scientists measuring the height of a building with a barometer
07:46 AM polprog: we should find a way to measure the height of a building with an oscilloscope...
07:46 AM rue_mohr: time reflectomotry
07:46 AM polprog: thats what i was thinking too
07:47 AM rue_mohr: measure how long the ping time is to a computer on the top floor :)
07:47 AM polprog: :P
07:54 AM rue_mohr: https://github.com/ruenahcmohr/C_PLD.git
07:54 AM rue_mohr: how do
07:54 AM rue_mohr: I
07:54 AM rue_mohr: send the files
07:54 AM rue_mohr: I do init, add ~
07:54 AM rue_mohr: then.... commit and something else?
07:55 AM polprog: commit and push
07:55 AM polprog: ah no
07:55 AM polprog: set the remote
07:55 AM polprog: git remote add
07:55 AM polprog: uhh
07:55 AM polprog: https://docs.github.com/en/free-pro-team@latest/github/using-git/adding-a-remote
07:55 AM polprog: been a while
07:56 AM polprog: ive switched to bitbucket and gitlab
07:56 AM polprog: for stuff that is less public
07:56 AM polprog: maybe i could push rclp to github
07:56 AM rue_mohr: haha
07:57 AM polprog: ? :)
07:58 AM rue_mohr: I'm not sure if asking helped or not ;)
07:58 AM polprog: whatcha mean
07:58 AM rue_mohr: I still ..
07:58 AM rue_mohr: screw it I'll use a different browser
08:00 AM rue_mohr: I'm not using it for team devel anyhow
08:00 AM rue_mohr: I just use git cause people can work it easier than my site :)
08:01 AM rue_mohr: Processing your files…
08:01 AM rue_mohr: wtf
08:01 AM rue_mohr: they have to make sure its not youtube-dl or what?
08:01 AM rue_mohr: https://github.com/ruenahcmohr/C_PLD
08:02 AM rue_mohr: I kept it minimal
08:02 AM polprog: haha
08:02 AM rue_mohr: yea I cant quite call it CPLD can I???
08:02 AM rue_mohr: :)
08:03 AM rue_mohr: I'm still evolving it
08:03 AM rue_mohr: I'd like to wrap it more
08:03 AM rue_mohr: arduino-ize it
08:06 AM rue_mohr: its pretty simple tho eh?
08:06 AM rue_mohr: it carries over all the concepts
08:07 AM rue_mohr: I was pondering a user fn, like loop() where you put all the user stuff
08:07 AM rue_mohr: again, arduino style
08:07 AM rue_mohr: but I think it would be too annoying
08:07 AM rue_mohr: the splicing could be wrapped up more
08:08 AM rue_mohr: aka, set it up before hand and make one call to do either all the input or output splicing
08:39 AM rue_mohr: thoguths?
08:48 AM polprog: i would make the bit remapping keep a state so that you just call it once instead of every iteration
08:48 AM polprog: same with write()
08:49 AM polprog: so operate on a rom-sized array instead of per-byte basis
08:56 AM rue_mohr: cache the results?
08:56 AM rue_mohr: hmm
08:56 AM rue_mohr: and I agree with the remapping
08:56 AM rue_mohr: if the maps were "registered" they could happen in a single call
08:57 AM rue_mohr: why cache the results tho?
09:17 AM rue_mohr: I need more projects to excersize it
09:17 AM rue_mohr: there is a bit of a learning curve for me here
09:18 AM Tom_L: is the only part i saw out of that "I need more projects"
09:18 AM Tom_L: wrong answer :)
09:19 AM rue_mohr: hard to learn stuff you cant apply
09:20 AM Tom_L: oh i suppose
09:21 AM rue_mohr: so I'v done a SAR, lcd thing, and servo controller, oh and a uart
09:21 AM rue_mohr: well, partial uart, it sends
09:22 AM rue_mohr: the other cool project had been confirming my really old ADC circuit
09:24 AM rue_mohr: I suppose this audio player idea is a thing
09:25 AM rue_mohr: I need to see if i have any fast serial dacs
09:26 AM rue_mohr: I could also make an encoder tracker
09:26 AM rue_mohr: 10 bit address
09:26 AM rue_mohr: that would be an easy one to prove out
09:27 AM rue_mohr: hmm I need a makefile
09:30 AM polprog: because if you have an array you can do multiple passes easily
09:30 AM polprog: and you just call write once which is nicer
09:30 AM rue_mohr: ok
09:31 AM polprog: on the other hand if it works..
09:31 AM rue_mohr: I'm going to use it a bit and see what stands out
09:32 AM rue_mohr: no drat I can only do 6 bit encoder tracker
09:33 AM rue_mohr: maybe this is where I want a 16 bit rom
09:45 AM rue_mohr: oh my, I can just splice up the index I need
09:55 AM rue_mohr: *blink* that was easy
09:58 AM rue_mohr: the patterns are interesting
09:58 AM rue_mohr: class starts in 2 mins
09:59 AM rue_mohr: http://paste.debian.net/1172716/
09:59 AM rue_mohr: that is a 6 bit encoder tracker
09:59 AM rue_mohr: quadrature tracking
10:00 AM rue_mohr: with a reset pin
10:01 AM polprog: hey that's cool
10:30 AM aandrew: man rue_mohr do you ever sleep
10:30 AM aandrew: it was like 5am when you were tweeting
11:13 AM rue_mohr: hah
11:24 AM polprog: he's testing the robot that exentually replaces him
11:24 AM polprog: eventually*
11:25 AM polprog: so far it know how to type
11:25 AM polprog: knows*
11:25 AM polprog: well, i dont know how to type so ill need one too
12:10 PM aandrew: well the robot has the wrong opinon on tabs and spaces so there's still work to be done obviously :-)
12:58 PM polprog: i stoppde caring haha
12:58 PM polprog: stopped*
12:59 PM polprog: i think i had to modify my emacs setup (cause it defaults to two space tabs) on one PC iw as modding some bsd code
12:59 PM polprog: they used.. i dont remember what
12:59 PM polprog: but it was a PITA to change
12:59 PM polprog: you couldnt just set a tab width variable, i had to implicitly state how many spaces each level was
12:59 PM polprog: i could make exponential tabs that way :P
12:59 PM polprog: 1, 2, 4, 8, 16 ... spaces
01:06 PM rue_mohr: hahah "wrong"
01:06 PM rue_mohr: tabs are evil, end of story
01:07 PM rue_mohr: hah
01:08 PM aandrew: the bot's malfunctioning again
01:12 PM polprog: i mean, i agree that tabs should not be used for whitespace
01:13 PM polprog: unless you can force everytone to agree on how they should look like
01:13 PM polprog: actually
01:14 PM polprog: doesnt matter, as long as its consistent
01:16 PM rue_mohr: well there are two interpertations too
01:16 PM rue_mohr: - variable spaces
01:16 PM rue_mohr: - tab stops
01:17 PM rue_mohr: I hate programs that use tab stops
01:17 PM rue_mohr: but you cant vertically align variable length columns with tabs
01:18 PM rue_mohr: http://paste.debian.net/1172745/
01:18 PM rue_mohr: for example
01:19 PM rue_mohr: there is no way its gonna convert properly with everyone using different sized tabs
01:34 PM rue_mohr: polprog, dfoutput broke when I changed the output splicing fn to not clear the contents first so that I could use it to 'or' things togethor
01:34 PM polprog: hmm
01:35 PM rue_mohr: the idea is a catch all for bits that were never modified
01:57 PM rue_mohr: dear god, mouser lists parallel memory chips in the Tbit range
01:58 PM Tom_L: that should keep ya busy for a few hrs
01:58 PM rue_mohr: thats insane
02:37 PM polprog: i have to see that
03:26 PM rue_mohr: mouser
03:26 PM rue_mohr: I was cross checking before I put the post out about if anyone knew of a 2kbit parallel rom chip
03:27 PM polprog: link?
03:28 PM polprog: oh, yeah 16Tbit
03:28 PM polprog: nice, 2TB nand flash
03:51 PM aandrew: might be something rue_mohr/polprog may like: https://mega.nz/file/tdtXXYQL#OHHdhQ5JA_MmVLhjsgKeWIJnA-AJHh2Zh-j0y-yyOxY
03:57 PM polprog: :)
04:00 PM polprog: wow, thats pretty useful, ty :)
04:06 PM polprog: rue_mohr, go grab it
04:17 PM polprog: hmm, i wonder
04:17 PM polprog: i wonder if we could make an FSM that runs from a RAM chip
04:18 PM polprog: it would have some switches on the front to key programs in
04:18 PM polprog: like altair
04:18 PM polprog: but CPU-less
04:20 PM polprog: i should see if i have any sram chips
04:20 PM polprog: maybe i could pull some from a dead 486
04:21 PM polprog: distractons...
04:21 PM polprog: i have to finish the mech assignment for this week
04:21 PM polprog: im better than before, ive already watched the lectures yesterday and im 1/3 into the excercises
04:21 PM polprog: deadline is every thu, usually i start watching the lectures on mondays
05:36 PM rue_mohr: polprog, yes
05:36 PM rue_mohr: I was pondering a rom that would load a ram from an i2c eeprom
05:40 PM polprog: oh god
05:40 PM polprog: no, i was thinking a plain paralell sram
05:41 PM polprog: plus, id use SPI, i have a feeling SPI is just easier
05:41 PM polprog: if we made a common bus layout... we could make various cards
05:45 PM rue_mohr: ooo 2114
05:45 PM rue_mohr: with a backpack CR2302
05:45 PM polprog: hmm, 4 data lines pretty narrow
05:46 PM polprog: i dont see how we could store a next address
05:46 PM polprog: actually
05:46 PM polprog: we could run two in paralel
05:46 PM polprog: get 8 bit word size
05:46 PM polprog: i should take a look at these 1 bit ICUs dosfox got
05:47 PM rue_mohr: or the state IS the output
05:47 PM polprog: or that
05:48 PM polprog: hmm, i can get 62256 in dip for $.5 each
05:49 PM polprog: hmm, lattice 20v8 for $1 each
05:49 PM polprog: ill make an order...
05:49 PM polprog: 30 pln tops
05:55 PM polprog: back to mech
05:55 PM polprog: im not sure when is the winter break
05:55 PM polprog: ill have surely more time then
05:55 PM polprog: fuck, i want to have a 9-to-5 job, my days would be more predictable then
06:15 PM polprog: hmm. 6 32kx8 srams, 4 resistor packs, one TC7109 12 bit ADC
06:15 PM polprog: maybe ill make something out of it
06:15 PM polprog: i have to make an eprom based one first
06:15 PM Tom_L: and a partridge in a pear tree
06:15 PM polprog: and a partridge cartridge
06:16 PM polprog: hmm, what if we combined an eprom and a sram fsm
06:20 PM Tom_L: why both?
06:20 PM Tom_L: both retain the content
06:20 PM polprog: sram retains content over poweroffs?
06:21 PM Tom_L: afik
06:21 PM Tom_L: dram doesn't
06:21 PM polprog: hmm, right
06:21 PM polprog: this way you could have an area of FSM "code" that is editable in run time
06:21 PM polprog: by itself
06:22 PM polprog: im overthinking it
06:22 PM polprog: ill make the 8675309 machine first
06:55 PM rue_mohr: aandrew, tracking number 0646199000286654
06:56 PM rue_mohr: yea, dynamic state machines are still way over my head
06:56 PM rue_mohr: like self modifying ones
06:57 PM rue_mohr: but yea, the ram chips are cheap, and only 0.3" wide
06:57 PM rue_mohr: and fully termed
06:57 PM rue_mohr: the 20V8 only has about 16 terms on its GOOD OLMs
06:58 PM Tom_L: :(
06:59 PM Tom_L: i didn't get no tracking no... :=(
07:00 PM polprog: oh, how cool is that
07:00 PM polprog: wolfram mathematica will run in terminal
07:00 PM polprog: you need the paid version though
07:00 PM polprog: they probably gonna be here mid next week
07:01 PM polprog: mathematica draws charts in text mode in terminal
07:01 PM polprog: but gnuplot draws nicer ones tbh
07:07 PM rue_mohr: ok, question 1, does minipro support the 2114
07:08 PM rue_mohr: it has the 6116
07:08 PM * Tom_L feels rue_mohr has been in a zone for a few days
07:08 PM polprog: www.autoelectric.cn/MiniPro/TL866II_List.txt
07:09 PM polprog: erm.. any idea why that file renders with that weird font
07:09 PM rue_mohr: yes and no
07:09 PM polprog: or are these latin characters in the chinese region
07:09 PM rue_mohr: what does the makeshift software support
07:09 PM rue_mohr: the pdf?
07:10 PM polprog: no, its atxt file
07:10 PM polprog: hmm, theres a list flag in the makeshift iface
07:11 PM rue_mohr: <manufacturer name="Standard SRAM">
07:11 PM rue_mohr: <ic name="6116" type="4" protocol_id="0x27" variant="0x00" read_buffer_size="0x80" write_buffer_size="0x20" code_memory_size="0x800" data_memory_size="0x00" data_memory2_size="0x00" chip_id="0x0000" chip_id_bytes_count="0x00" opts1="0x00" opts2="0x0000" opts3="0x0000" opts4="0x0080" opts5="0x0000" opts6="0x0000" opts7="0x0000" opts8="0x0000" package_details="0x18000000" fuses="NULL" />
07:11 PM polprog: wut
07:12 PM rue_mohr: xml file from source
07:12 PM polprog: ah
07:12 PM polprog: i mean the -L flag
07:12 PM polprog: but ok
07:12 PM rue_mohr: it does not support the 2114
07:12 PM polprog: can you check if it suports the 62256
07:12 PM rue_mohr: I wonder what opts1 thru opts8 are
07:12 PM rue_mohr: all the others are there
07:15 PM polprog: hmm
07:15 PM polprog: okay
07:17 PM polprog: https://www.researchgate.net/publication/220730078_Fundamental_Data_Retention_Limits_in_SRAM_Standby_Experimental_Results/link/00463530456250042f000000/download
07:17 PM polprog: hmmm
07:18 PM polprog: Previous work has shown that, for anySRAM cell there is a critical supply voltage above whicha data-bit is retained reliably
07:24 PM polprog: goodnight
07:30 PM rue_mohr: yea
07:37 PM rue_mohr: ok, but 6116 is support
07:37 PM rue_mohr: next question, may I 'program' a 6116
07:39 PM Tom_L: yes you may
07:39 PM Tom_L: can you?
07:44 PM rue_mohr: no
07:44 PM rue_mohr: IO Error: Async transfer failed: LIBUSB_TRANSFER_TIMED_OUT
07:44 PM rue_mohr: it tries
07:59 PM rue_mohr: 28C256 is a eeprom that writes using normal write cycles
08:00 PM rue_mohr: not working tho
08:00 PM rue_mohr: maybe the rw pins are different
08:15 PM rue_shop1: ok
08:16 PM rue_shop1: so then, if I'm gonna program a ram chip, I'm gonna have to use one of the programmers I built
08:16 PM rue_shop1: and I'm not into that right now
08:16 PM Tom_L: :/
08:17 PM rue_shop1: I can branch out into that later
08:18 PM Tom_L: well you're up to more than i am
08:19 PM Tom_L: did go see a welder today to fix some cast iron that has a cnc he said i can use any time
08:20 PM Tom_L: sparce tooling and not set up yet but wired in
08:23 PM rue_mohr: oh new
08:24 PM Tom_L: he's quite a guy
08:24 PM Tom_L: got a plasma, cnc bender, welders, does all sorts of fab work
08:24 PM Tom_L: got a small cnc (gantry) and a big one someone let him use
08:25 PM Tom_L: paint booth etc
08:29 PM rue_mohr: well I have an exam tommorow morning
08:30 PM Tom_L: topic?
08:30 PM rue_mohr: questions like: "can the direction of movement be detected with a 1 channel encoder"
08:30 PM Tom_L: can it?
08:30 PM rue_mohr: 8-| omg omng I forgot!!!
08:31 PM rue_mohr: :)
08:31 PM Tom_L: amazing what you can do with 3
08:32 PM rue_mohr: and "if a roller has a circumference of 10cm, and a encoder with 600 lines is connected to it, how many counts will there be from a movement of 4cm"
08:32 PM rue_mohr: (belt movement)
08:32 PM rue_mohr: and their NOT using quadrature
08:32 PM rue_mohr: :)
08:33 PM rue_mohr: there will be trick questions
08:33 PM rue_mohr: I have to read carefully and just pace myself
08:33 PM Tom_L: at what point on the belt?
08:34 PM Tom_L: root, crest or midpoint
08:34 PM Tom_L: just don't over think them. they're not as bright as they let on
08:40 PM rue_shop1: have to keep in mind this is for electricians as a fire test
08:40 PM rue_shop1: my takeaway is to remember NOT to use quadrature on the test
09:23 PM rue_shop1: I thoguht, for a second I was looking at the most awesome memory chip ever, but it was just a 74ls574
09:24 PM Tom_L: i probably have a couple at least
09:24 PM Tom_L: some on one of the 68332 boards i knoww
09:25 PM Tom_L: not sure what they are
10:07 PM rue_mohr: yay, the optical encoder FSM worked
10:11 PM Tom_L: cool
10:14 PM rue_mohr: https://twitter.com/RueNahcMohr/status/1328549312750444550
10:15 PM rue_mohr: its a 100 line encoder in quadrature, so its hard to count slow
10:15 PM Tom_L: what's the encoder off of?
10:15 PM Tom_L: nice size
10:15 PM rue_mohr: china
10:15 PM Tom_L: index too?
10:15 PM rue_mohr: I got a few for a not-unreasoable price
10:16 PM rue_mohr: no, no index, about $14ea iirc
10:16 PM Tom_L: mine are small but good
10:16 PM Tom_L: well, you've seen em
10:16 PM rue_mohr: yup
10:16 PM rue_mohr: when I was doing that I noticed the bearings have dings in them
10:16 PM Tom_L: i got 4 of those off that medical equipment
10:17 PM rue_mohr: its lumpy :/
10:17 PM Tom_L: mine should really be heavier for what i'm doing
10:17 PM Tom_L: i got 4 to wear out
10:17 PM rue_mohr: you dont have a lot of side load on them do you?
10:17 PM Tom_L: i keep the belt loose
10:17 PM rue_mohr: ... you wore out 4!?
10:18 PM Tom_L: no i'm still on #1
10:18 PM rue_mohr: good!
10:21 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/motors/focusring12.jpg
10:21 PM Tom_L: each of those had 2
10:21 PM Tom_L: same encoder, shaft is slightly different
10:21 PM rue_mohr: nice