#garfield Logs

Nov 11 2020

#garfield Calendar

03:23 AM rue_mohr: https://github.com/Manawyrm/PHPPLD
03:30 AM rue_mohr: polprog, that program might beat mine...
05:16 AM polprog: rue_mohr: hmm, i havent played with it but it seems to be a completely different approach
07:37 AM aandrew: I find it hard to believe that firefox can't load imgur
12:37 PM rue_mohr: would you beleive imugr wont let it?
12:48 PM aandrew: no
12:49 PM aandrew: found this with a very qucik google search though so maybe it's not as weird as I thought: https://www.reddit.com/r/firefox/comments/9ava1i/why_wont_my_firefox_show_imgur/
12:49 PM aandrew: so I spent US$200 on ali 11/11
12:50 PM rue_mohr: oh right!!!
12:50 PM rue_mohr: thats why I was supposed to wake up early!!!!
12:50 PM aandrew: nothing crazy. some smallish USBC 85W mag mounts, a 50mm and 30mm lens for security cameras, bunch of BNC panel-mount connectors, some 128x64 I2C OLED displays, that kind of stuff
12:51 PM rue_mohr: backup still going...
12:52 PM rue_mohr: 400G over usb takes a while
12:52 PM aandrew: yes it will
12:52 PM aandrew: even at 3.0 speeds
12:52 PM rue_mohr: I'm backing up to a deathstar drive tho
12:52 PM rue_mohr: so I'm pondering doing 2 or 5
12:55 PM rue_mohr: by the rate songs are going by its about 5M/s
12:56 PM rue_mohr: mayb 10
12:58 PM rue_mohr: hmm dac0808 is current
01:13 PM rue_mohr: :/ espe266 is cheaper than an atmega8
01:13 PM rue_mohr: :/ esp8266 is cheaper than an atmega8
01:14 PM rue_mohr: :/ esp8266 on board is cheaper than an atmega8
01:23 PM aandrew: yeah I'm done with the little 8-bitters unless there is a *clear* advantage
01:25 PM rue_mohr: cheap chea phceap
01:27 PM aandrew: yes, but unless you're making even 100 of them, that's really a drop in the bucket compared to development and debugging time
01:29 PM rue_mohr: in this particular case I'm hunting parallel too
01:30 PM rue_mohr: with all the computing power, doing a digital looped 1-bit would probably be fine
01:30 PM rue_mohr: why havn't I tried that yet?
01:30 PM rue_mohr: io-> filter ->adc -> code
02:16 PM rue_mohr: ok, so far I got
02:16 PM rue_mohr: tiny26 (cheapest ADC by far)
02:16 PM rue_mohr: gal16V8
02:16 PM rue_mohr: 74hc541 (buffer)
02:16 PM rue_mohr: 28C16 (I'm not completely sure why)
02:17 PM rue_mohr: AS6C4008 4M SRAM.... video project?
02:17 PM rue_mohr: MCP6001 (adc/dac buffers)
02:17 PM rue_mohr: LMC6482 (I'm starting to use them everywhere)
02:18 PM rue_mohr: esp8266 (wifis)
02:18 PM rue_mohr: 2N7000 (I'm starting to use them everywhere)
02:18 PM rue_mohr: 9 pin 10k resisor arrays (dac stuff)
02:19 PM rue_mohr: dac0808 (adc stuff)
02:19 PM rue_mohr: 28 pin sockets (atmega8)
02:44 PM aandrew: heh
02:45 PM aandrew: my popcorn transistors are the MMBT3904/6 (sot-23) and BSS84/138 for mosfets, also sot-23
03:23 PM rue_mohr: I like the 2n7000 can take some current
03:24 PM rue_mohr: THO, the AOP605 is a compl set that can really handle current
03:24 PM rue_mohr: but small enough for signalling fun too
03:26 PM TermMoon: :P
03:28 PM rue_mohr: I was looking at the 3904, but the specs seem a bit better on the pn2222
03:29 PM rue_mohr: that said, I was supposed to move to 2n5551 5550
03:37 PM rue_mohr: I'm really still astounded that I designed that adc before I knew what they were
03:37 PM rue_mohr: oh and I had a design for an arbitrary wave signal generator
03:46 PM rue_mohr: heh this diagram has voice recognition...
03:47 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1170919.jpg
03:47 PM rue_mohr: on the bottom right
03:47 PM rue_mohr: see "mic and binary coder" ?
03:47 PM rue_mohr: to a comparator
03:47 PM rue_mohr: but I dont get why it enables the audio out
03:48 PM rue_mohr: I used T flipflops for outputs lots
03:48 PM rue_mohr: not sure why
03:49 PM rue_mohr: "master ram" is interesting
03:50 PM rue_mohr: see the state machine loop?
03:50 PM rue_mohr: master ram -> motor control rom -> ram -> counter -> address decoder/counter -> master ram
04:10 PM durp: TermMoon please help
04:22 PM durp: .exit
04:55 PM rue_mohr: so
04:56 PM rue_mohr: it looks like what I was missing was a way to implement state machines in serial platforms
04:57 PM rue_mohr: almost half of everything I was doing was serial anyhow
04:57 PM rue_mohr: I was putting a lot of work into converting in and out
05:02 PM Tom_L: while you're eating cereal, fix your gcode downloader :)
05:02 PM rue_mohr: yea there is a state machine and a half to deal with
05:03 PM rue_mohr: grbl and its are-you-ready-yet? system
05:03 PM Tom_L: heh
05:03 PM rue_mohr: you almost need a continious out-of-band banter to know what its status is
05:11 PM TermMoon: durp, short lived as allways
05:16 PM rue_mohr: so the brain has a few types of modules
05:16 PM rue_mohr: translators and state machines
05:16 PM rue_mohr: I think they learn via a process of chaotic settling
05:17 PM rue_mohr: but there also seems to be a kind of pushdown abstraction
05:23 PM TermMoon: I dont believe in the brain
05:24 PM rue_mohr: its ok, it doesn't believe in you either
05:24 PM rue_mohr: I need to work out how to write GALs like thier roms
05:25 PM aandrew: man you love your state machines
05:25 PM TermMoon: roses and wine
05:25 PM rue_mohr: I'm trying to re-figure out what I could do then
05:26 PM rue_mohr: I'm burning to know how to make a loop that involves a ram and a rom that form a dynamic state machine
05:26 PM rue_mohr: maybe it just becomes a cpu, but I think there is another outcome
05:27 PM rue_mohr: whats interesting, too, is that looking back, I can see I was quite the person who had solutions in search of questions
05:27 PM polprog: it if does, it will be a very interesting design
05:27 PM rue_mohr: not just this stuff, lots of things
05:27 PM polprog: ie unlike anyhing nowadays
05:27 PM rue_mohr: people would OFTEN ask me "whats it for?"
05:27 PM polprog: no alu, no registers, no nothing
05:27 PM rue_mohr: I would usually say that it didn't matter, it WAS.
05:27 PM polprog: probably a bare metal turing machine?
05:28 PM polprog: yeah, lost of stuff we build is for the journey itself
05:28 PM rue_mohr: yea, a wierd dynamic one
05:28 PM polprog: why weird
05:28 PM polprog: the regular one changes the memory contents
05:28 PM rue_mohr: I'm still pondering
05:28 PM polprog: im not sure how to handle the memory, youd have to somehow write-enable it then write then write-disable
05:29 PM rue_mohr: I'm still trying to grasp that I came up with an ADC before I even knew what they were
05:29 PM rue_mohr: I used 4017s a LOT in my designs
05:29 PM rue_mohr: squencers and serial<->parallel conversion
05:29 PM rue_mohr: its interseting that I also had a lot of counters
05:30 PM rue_mohr: because state machines dont make good counters
05:30 PM rue_mohr: the bus modes are interesting too,
05:30 PM rue_mohr: most things were pulsed to T flipflops
05:30 PM rue_mohr: I didn't use any RS flipflops
05:30 PM rue_mohr: suspect I didn't even know about them
05:31 PM rue_mohr: lots of my state machines were clocked on conditionals
05:31 PM rue_mohr: and the condition was selected from a set by the state machine
05:33 PM rue_mohr: TermMoon, did you get webpack installed?
05:34 PM rue_mohr: wtf
05:34 PM rue_mohr: I have a iso of a xilinx dvd?
05:34 PM rue_mohr: ISE_DVD_92i
05:34 PM Tom_L: the brain does not log time
05:34 PM Tom_L: it logs events
05:35 PM Tom_L: now
05:35 PM Tom_L: prove me wrong or prove me right
05:37 PM Tom_L: you remember events that happen but if you think about one long ago and one not so long ago you can't distance them
05:38 PM rue_mohr: yea, a state machine cant track time
05:39 PM Tom_L: JFK and the twin towers for example. you're too young to remember JFK
05:39 PM Tom_L: let's say the Challenger and Twin towers
05:41 PM Tom_L: you also associate
05:42 PM rue_mohr: ok, backups finished
05:42 PM Tom_L: you remember that if you stick your finger in a light socket it will hurt like hell
05:42 PM Tom_L: same with a table saw etc
05:43 PM rue_mohr: how learning works is still a mystery on my plate
05:43 PM rue_mohr: but I think I know a bit
05:45 PM Tom_L: asl Pavlov
05:45 PM Tom_L: ask
05:47 PM rue_mohr: chaos, I typed "its" into my image viewer...
05:47 PM rue_mohr: its about how the links and things in the state machine are changed tho
05:48 PM rue_mohr: the FFT vs an digital IIR fitler vs a neural network make me suspect that there is a 'filter' solution to inteligence
05:49 PM rue_mohr: I need to try to express an FFT as an FIR filter...
05:49 PM rue_mohr: I got part way a few nights ago, but its kinda complex
05:49 PM rue_mohr: they do NOT express an FFT in filter terms, they just build it like one
06:27 PM polprog: holy fuck
06:27 PM polprog: i got half of the assignment done
06:27 PM Tom_L: great scott!
06:52 PM rue_mohr: is that a lot?
06:57 PM rue_mohr: hey, someone go to youtube and tell me if they can play a video...
06:57 PM rue_mohr: maybe my isp just cut mom off :)
06:57 PM rue_mohr: I cant play youtube videos
06:59 PM Tom_L: mine are ok
07:00 PM Tom_L: ...
07:00 PM Tom_L: an error occurred try again later
07:02 PM Tom_L: yeah it's broke
07:13 PM polprog: https://polprog.net/rozne1/ircjunk/various/longexposure1.jpg
07:13 PM polprog: https://polprog.net/rozne1/ircjunk/various/longexposure2.jpg
07:13 PM polprog: yesterdays long exposure photos
07:13 PM polprog: they came out different than i expected
07:14 PM polprog: i think i like 2 better
07:14 PM rue_mohr: yea the player just gets stuck loadiong right?
07:15 PM polprog: haha, broken for me too
07:15 PM rue_mohr: polprog, heh, not that long is seems
07:15 PM Tom_L: yt is experiencing widespread outtages
07:15 PM polprog: rue_mohr: 10 sec iirc
07:15 PM rue_mohr: maybe they internally used youtube-dl
07:15 PM polprog: they took youtube down for all that pirated music
07:15 PM polprog: :P
07:19 PM aandrew: polprog: discord?! <shock>
07:25 PM polprog: :P
07:35 PM rue_mohr: maybe its the hackers getting back for the youtube-dl thing
07:36 PM polprog: heh
07:42 PM polprog: im losing track of time
07:42 PM polprog: its already 2:30
07:42 PM polprog: ive gotta go to sleep
07:42 PM polprog: gn
07:46 PM rue_mohr: gn
07:58 PM Tom_L: yay yt is back
08:53 PM rue_mohr: yup, ma is back at it
08:53 PM rue_mohr: I guess I'll see if she hits my transfer limit for the month
08:53 PM Tom_L: limited bandwidth?
08:53 PM Tom_L: are you on satelite or cable?
08:56 PM Tom_L: ahh, she moved in with you right?
08:56 PM Tom_L: i recall something about that
08:58 PM rue_mohr: fiber
08:58 PM rue_mohr: I think they count bytes tho
08:59 PM Tom_L: i'm sure i have a limit but have never hit it
08:59 PM rue_mohr: yea, my limit is crazy, I usually dont even dent it
08:59 PM Tom_L: neither on my phone
08:59 PM Tom_L: but those are unlimited
09:00 PM rue_mohr: ma has been in the spare bedroom for a few days now, 24/7 watching youtube
09:00 PM Tom_L: i shut wifi off on mine
09:00 PM Tom_L: heh
09:00 PM rue_mohr: it *is* winter
09:00 PM Tom_L: got my first project programmed for the new spindle
09:00 PM rue_mohr: oh do tell
09:01 PM Tom_L: nothing special
09:01 PM Tom_L: i saw a phone holder i'm making for her
09:01 PM rue_mohr: aaah
09:01 PM Tom_L: something similar to it anyway
09:01 PM Tom_L: she's on it 24/7
09:01 PM rue_mohr: so if the holder doesn't work out, she wont notice?
09:02 PM rue_mohr: I think there was a joke going around about a studdy that asked "where do you keep your phone when your not holding it?" to which the reply was "*not* holding it?"
09:03 PM rue_mohr: trying to understand the GALs more
09:05 PM Tom_L: https://www.columbusunderground.com/wp-content/uploads/2019/07/Vcarve_-_CNC_xnna5y.jpg
09:05 PM Tom_L: without the right side part
09:05 PM rue_mohr: ah!
09:05 PM rue_mohr: kinda nice in its simplicity
09:05 PM Tom_L: just the phone/cord
09:06 PM rue_mohr: looks like the finger dimple could be larger
09:06 PM Tom_L: i could find a millon yesterday and now i can't find the ones i saw
09:09 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/rue/phone_stand.jpg
09:09 PM Tom_L: the ones i saw had a thru hole
09:09 PM rue_mohr: comparing the JED file and the pdf for the GAL is educatinal
09:10 PM rue_mohr: yea that makes sense
09:10 PM Tom_L: or just lean it fwd
09:12 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/cnc/calipers/Mitutoyo2.jpg
09:12 PM Tom_L: i got a chunk of that leftover
09:13 PM rue_mohr: nice
09:13 PM rue_mohr: Every so often I used to find nice peices at the dump
09:13 PM Tom_L: my old fowlers quit
09:13 PM rue_mohr: they don't do wood anymore
09:13 PM Tom_L: about everything i make here is from scrap i have
09:13 PM rue_mohr: :) what? no thermometer for making sure the standard is at the right temp?
09:14 PM Tom_L: i had that aluminum for the belt cover
09:14 PM Tom_L: it was a large T piece like 3" or more per 'wing'
09:14 PM rue_mohr: you got WAY better scrap over there than I do
09:15 PM rue_mohr: did you find a clasp for that box?
09:15 PM Tom_L: the steel guy sold out to a big company
09:15 PM Tom_L: they still sell it but it's just not the same
09:15 PM rue_mohr: oh no
09:15 PM Tom_L: the electronics guy quit
09:15 PM rue_mohr: yea, were losing the last of the resources for anyone who wants to make anything
09:16 PM rue_mohr: might have to move to china
09:16 PM Tom_L: i can't even find a bench grinder wheel here
09:16 PM rue_mohr: ouch
09:16 PM Tom_L: i need one for carbide
09:16 PM rue_mohr: I have a fasteners shop thats pretty good
09:16 PM rue_mohr: they are from the logging days
09:16 PM rue_mohr: quipment for people
09:16 PM Tom_L: there's a couple places i can still check
09:17 PM rue_mohr: oh god, yea, I gave up trying to get a carbide wheel
09:17 PM Tom_L: i have a real good fastener shop
09:17 PM Tom_L: for what the hardware store wants for 2 i can get 100 from him for the same cost
09:18 PM Tom_L: several electrical supplies
09:18 PM Tom_L: fastenal is a last resort
09:22 PM rue_mohr: I'm starting to understand the inside of PLDs
09:22 PM Tom_L: :)
09:22 PM Tom_L: anything like fpga?
09:22 PM rue_mohr: no, much simpler
09:22 PM rue_mohr: every pin can be an input
09:23 PM rue_mohr: some of them can be outputs
09:23 PM Tom_L: JT programs those PLC? whatever they use in industry
09:23 PM Tom_L: ladder logic i think
09:23 PM rue_mohr: every input source has an inverted copy internally
09:24 PM rue_mohr: you can connect every one of those lines, via a few AND gates to an or gate to an optional output latch
09:24 PM rue_mohr: its a limited memory
09:24 PM rue_mohr: with optional feedback latches
09:24 PM Tom_L: i figured out a lut on the sherline spindle once
09:24 PM rue_mohr: not too common for people to use a lut or state machine
09:25 PM Tom_L: http://linuxcnc.org/docs/2.7/html/man/man9/lut5.9.html
09:25 PM rue_mohr: oh I kinda remember that
09:25 PM Tom_L: i don't need it now
09:26 PM rue_mohr: these JED files are just huge fuse maps
09:26 PM Tom_L: once i run it a while i'll clean out the trash from the config file
09:26 PM rue_mohr: and... their not that huge
09:26 PM Tom_L: resettable?
09:26 PM rue_mohr: yea, reprogrammable, 100 times :/
09:26 PM rue_mohr: min
09:27 PM rue_mohr: they dont ahve a counter, so I have no idea how worn the ones I get from china are
09:28 PM rue_mohr: I'm trying to understand how much I can fit in them
09:29 PM rue_mohr: its not as much as I thuoght
09:29 PM rue_mohr: a ROM with a feedback latch is a LOT more capable
09:29 PM rue_mohr: it would be nice if the fpga's were smaller, DIP, and 5V
09:31 PM Tom_L: yeah
09:31 PM Tom_L: cpld are smaller
09:31 PM Tom_L: and don't need external ram
09:31 PM Tom_L: program the same
09:31 PM Tom_L: 5v iirc too
09:32 PM rue_mohr: I want to do more with the fpga
09:32 PM rue_mohr: I think I'm just lining up ducks right now
09:32 PM rue_mohr: compare their sizes
09:36 PM rue_mohr: so, if I try to make one output come on with like 17 input combinations, it should fail
09:36 PM Tom_L: heh i'd think so
09:38 PM Tom_L: holy crap grainger wants $75 for that wheel
09:39 PM Tom_L: oh that's 8" i need 6
09:39 PM Tom_L: but still
09:39 PM Tom_L: they're ~50-60
09:42 PM Tom_L: mmm all the green stones are 1" wide... dunno if those will fit
09:52 PM rue_mohr: AHA!
09:53 PM rue_mohr: I got it to do it!
09:53 PM rue_mohr: error: excessive number of product terms.
09:54 PM rue_mohr: it simplifies them, so it was a bit hard to hit
09:54 PM rue_mohr: but this is cool
09:54 PM rue_mohr: it means I'm not crazy to be using ROMs for state machines
09:54 PM rue_mohr: GALs CANT do it all
10:16 PM rue_mohr: a thing about phppld, is that you cant have persistant variables
10:16 PM rue_mohr: I suppose it could be modified with a persistant structure