#garfield Logs

Jan 26 2020

#garfield Calendar

02:31 AM rue_mohr: I'm back
02:36 AM rue_mohr: I think you can look at any edge of any signal with always @( posedge)
02:36 AM rue_mohr: Tom_L, which thing are you working on?
03:12 AM zhanx_laptop is now known as zhanx
04:21 AM Tom_L: i was trying to get my counter overflow to work on the single leds as a binary counter
04:21 AM Tom_L: but i'm not getting anywhere with it
04:21 AM Tom_L: it will display but it appears random
12:33 PM rue_bed: hmm
12:33 PM rue_bed: lets see what your up to, you might have an issue with things happening at once
12:41 PM Tom_L: i've tried it a couple different ways
12:46 PM rue_bed: im not awake yet but lets see
12:46 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/counter_test/Counter.v
12:46 PM Tom_L: line 64
12:46 PM Tom_L: i've tried it with a counter added to it too
12:47 PM Tom_L: it's assigned to cout_ten to make it roll over faster for testing
12:47 PM Tom_L: eventually it will attach to cout_thousand
12:47 PM Tom_L: which will be added at line 60
12:51 PM rue_bed: yea no
12:52 PM Tom_L: it displays when it rolls over but it's random
12:52 PM rue_bed: where is counter M10 defind
12:52 PM Tom_L: in another .v
12:53 PM Tom_L: you have it
12:53 PM rue_bed: k, lets see that
12:53 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/xilinx/New_Board/EX5-Counter/
12:53 PM Tom_L: none of those have changed
12:53 PM Tom_L: just the couter.v
12:56 PM Tom_L: cld_div
12:57 PM rue_bed: huh
12:57 PM Tom_L: no
12:57 PM Tom_L: but it's in that dir
12:57 PM rue_bed: actually, it looks like it should be ok
12:57 PM Tom_L: i know
12:57 PM Tom_L: but it's not
12:57 PM Tom_L: it may need to latch?
12:58 PM Tom_L: i had oflow as the counter and assigned led to that but it did the same
01:00 PM rue_bed: hmm
01:06 PM Tom_L: you could run it without the 7seg, just a couple leds for test if you wanted
01:06 PM Tom_L: you just wouldn't see when it rolled over
01:09 PM Tom_L: well you might need 7 leds to see the randomness
01:11 PM Tom_L: i've tried all sorts of things including assigning individual bits
01:54 PM rue_mohr: I think the @posedge might be at the wrong part of the picure
01:54 PM rue_mohr: picture
01:55 PM Tom_L: that was theirs
01:56 PM Tom_L: oh the always @( * )
01:56 PM rue_mohr: haha
01:56 PM rue_mohr: I'm on the phone
01:56 PM rue_mohr: eating breakfast
01:57 PM rue_mohr: visitor in the shop
01:57 PM Tom_L: how was the twitter guy?
01:57 PM rue_mohr: and I need to go help a guy with his gas furnace
01:57 PM Tom_L: i'll check back in another life then
01:58 PM rue_mohr: just a busy as hell morning
01:59 PM Tom_L: it's not going anywhere
01:59 PM rue_mohr: twitter guy was great
01:59 PM rue_mohr: busy shop yesterday
01:59 PM Tom_L: where's he live?
01:59 PM rue_mohr: I dont know what it is that brings people out, but it hits them all at the sam etime
01:59 PM rue_mohr: ontario
01:59 PM rue_mohr: he was in vancouver
05:10 PM rue_mohr: -o "soft,sync,timeo=2"
05:35 PM Tom_L: what?
05:35 PM rue_shop2: its for me
05:35 PM rue_shop2: I"m trying to task switch again too
06:21 PM zhanx: latest batch of bacon https://imgur.com/a/CUQizyC
06:25 PM Tom_L: you cut it?
06:26 PM zhanx: yep
06:26 PM zhanx: i have a meat slicer
06:28 PM Tom_L: how's things goin in Tx?
06:29 PM zhanx: ok
06:42 PM MoonyMoon: how do I jack uup the verbosity of the asterisk shell??
06:44 PM rue_mohr: core set verbose 9
06:44 PM rue_mohr: core set debug 9
06:44 PM MoonyMoon: dankee :)
06:44 PM rue_mohr: core help
06:45 PM MoonyMoon: wow thats allot of output
07:37 PM rue_mohr: need a confirm, how long does it take to charge a 1uF cap (from 0v) to 12V when fed constant current with 10mA
07:42 PM tiwake: https://mntre.com/media/reform_md/2020-01-18-finishing-reform.html
08:55 PM rue_mohr: k
10:23 PM Tom_L: rue_mohr, no clue on the code?
10:33 PM Tom_L: https://stackoverflow.com/questions/26802019/6-bit-binary-counter-with-led-output
10:33 PM Tom_L: that one looks quite similar
10:36 PM Tom_L: https://www.electronoobs.com/eng_circuitos_tut23_counter.php
10:36 PM Tom_L: i'll check that one out tomorrow
10:36 PM Tom_L: they've got alot of debounce i don't need
10:51 PM rue_mohr: crazy day
10:51 PM rue_mohr: my head isn't quite there, I want to play tho
10:51 PM rue_mohr: but I need to go to sleep for work tommoroow
10:52 PM Tom_L: me too but i'm trying one thing
10:52 PM rue_mohr: I want to break it down and play with the modules
10:52 PM Tom_L: still no go
10:52 PM rue_mohr: I think there is a snag in where the edge things are put in place
10:54 PM Tom_L: possibly
10:54 PM Tom_L: i cut it back to 4leds for now
10:55 PM Tom_L: posedge clk doesn't work
10:55 PM Tom_L: back to the ( * )
10:55 PM rue_mohr: yea I think its in the wrong place
10:55 PM Tom_L: i don't
10:55 PM Tom_L: but it's not working so who knows
10:56 PM rue_mohr: I have to build it up to know
10:56 PM Tom_L: you don't need the 7seg, just a counter
10:58 PM rue_mohr: I need time
10:58 PM rue_mohr: :)
10:58 PM Tom_L: we all need time
10:58 PM rue_mohr: I'm trying to figure out a motor driver for the cnc
10:58 PM rue_mohr: but I'm half alseep
11:00 PM Tom_L: well this changes each loop but it's not right
11:09 PM Tom_L: oh well... another day.
11:10 PM Tom_L: http://flipthatbit.net/2011/03/binary-counter-in-verilog/
11:10 PM Tom_L: that's practically identical
11:10 PM Tom_L: except the posedge clk
11:10 PM Tom_L: which doesn't work on mine
11:10 PM rue_mohr: hmm
11:11 PM rue_mohr: I cant remember anything special looking the demo code did
11:12 PM Tom_L: probably some stupid little thing
11:12 PM rue_mohr: could be, I have to build it up
11:13 PM Tom_L: so "initial begin" only runs once?
11:13 PM rue_mohr: so, an LM317 takes 20us to get its stuff in order when used as a current source
11:13 PM rue_mohr: yes
11:14 PM rue_mohr: everyone seems to religiously tie in a reset provision
11:14 PM rue_mohr: I haven't needed one yet
11:14 PM Tom_L: i had a reset chip on the 68hc11 that waited x time after good power
11:14 PM rue_mohr: I'm trying to work out the level shifting for a fet motor driver
11:14 PM rue_mohr: I wonder if I should just use bipolar
11:15 PM rue_mohr: 2n3055...
11:16 PM Tom_L: couple guys in linuxcnc are building motor drivers currently i think
11:16 PM rue_mohr: 100V 15A
11:16 PM rue_mohr: but I want 20A
11:16 PM Tom_L: and i think he's using grbl or some such thing
11:16 PM Tom_L: have you looked at grbl mega?
11:16 PM Tom_L: or some such name...
11:17 PM rue_mohr: regular grbl is fine for me
11:17 PM rue_mohr: but I'm using dc motors, and I need servo loops
11:17 PM rue_mohr: and drivers
11:17 PM Tom_L: the latest added 2 motor gantry setup
11:18 PM Tom_L: aside from the 'mega' version
11:18 PM rue_mohr: 2n3055 drops 3V @ 10A
11:20 PM Tom_L: don't wanna use n channel mosfets?
11:20 PM rue_mohr: driving them is a pain
11:20 PM rue_mohr: but
11:20 PM rue_mohr: I might give in
11:20 PM Tom_L: i thought p channel was the pain
11:22 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/motors/gearhead1.jpg
11:24 PM Tom_L: he's probably asleep now
11:24 PM Tom_L: dude in lcnc
11:25 PM Tom_L: but he's building a driver for his spindle motor. goes by _unreal_
11:26 PM rue_mohr: ah you found them!!!!
11:26 PM Tom_L: i found a pic of them
11:26 PM Tom_L: :)
11:26 PM rue_mohr: ugh
11:26 PM rue_mohr: so, somewhere they exist
11:26 PM Tom_L: pretty sure i know where they are
11:26 PM rue_mohr: I know where mine are
11:27 PM Tom_L: garage attic
11:27 PM Tom_L: later..
11:28 PM rue_mohr: gnight
11:33 PM Tom_L: just had a brainstorm
11:33 PM Tom_L: what if i did posedge on the cout_ten and increment a count then
11:34 PM Tom_L: i'll try that tomorrow
11:35 PM Tom_L: always@(posedge cout_ten)
11:35 PM Tom_L: begin
11:35 PM Tom_L: oflow <= oflow + 8'b1;
11:35 PM Tom_L: end
11:36 PM Tom_L: assign LED[7:0] = oflow[7:0];
11:37 PM Tom_L: that would certainly slow it down
11:37 PM rue_mohr: k...
11:56 PM rue_mohr: FQP27P06