#garfield Logs

Dec 17 2019

#garfield Calendar

03:17 AM X704 is now known as tiwake
10:07 AM rue_mohr: one day I'm gonna figure out what it is about gui like interactive stuff that makes it turn into so much code.
03:06 PM Tom_itx: qtpyvcp
03:26 PM polprog: im sure rue came up with this years ago but i just made one
03:26 PM polprog: https://i.ibb.co/2kYR5TM/IMG-20191217-221545.jpg
03:41 PM Tom_itx: cool
03:42 PM Tom_itx: i made a bunch of rs232 level converters for ttl
03:42 PM Tom_itx: http://tom-itx.no-ip.biz:81/~webpage/misc_stuff/rs232_2.jpg
03:42 PM Tom_itx: hardly ever use those anymore
03:43 PM polprog: oh yeah, i remember these
03:43 PM polprog: they are pretty neat
03:44 PM Tom_itx: i probably still have some of the boards somewhere
03:44 PM polprog: i was thinking about making a passthrough board that would blink all the rs232 lines for debug
03:44 PM Tom_itx: problem is, rs232 isn't 5v
03:44 PM polprog: ikr, for this one i just used a bigger resistor
03:45 PM Tom_itx: yeah
03:45 PM Tom_itx: did you see my counter?
03:45 PM Tom_itx: finally got that working
03:45 PM polprog: im trying to set up an old thinkpad to have a portable thing that does 232
03:45 PM polprog: no, im curious
03:46 PM Tom_itx: https://www.youtube.com/watch?v=kUzNEWvFPxk&feature=youtu.be
03:46 PM Tom_itx: the video makes the digits flutter
03:47 PM Tom_itx: you can't see it irl
03:47 PM polprog: its so cutr
03:47 PM polprog: its so cute*
03:47 PM Tom_itx: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/counter_test/
03:47 PM Tom_itx: ignore top.v
03:47 PM Tom_itx: the other 2 are it
03:49 PM polprog: ty
03:50 PM polprog: still waiting for the fpga kits to arrive
03:50 PM polprog: i reckon its gonna be after christmas
03:51 PM Tom_itx: haven't heard anything about mine yet
03:59 PM Tom_itx: top.v was a verilog version
03:59 PM Tom_itx: i wanted to do it in vhdl
04:41 PM polprog: i think ill run a small board
04:41 PM polprog: ive got some new, good glossy paper and new clad
04:41 PM polprog: maybe that serial port indicator lights is a good test project.
04:47 PM Tom_itx: rue_mohr, https://www.youtube.com/watch?v=g3ZG2HlqLMQ
04:49 PM Tom_itx: polprog, http://tom-itx.no-ip.biz:81/~webpage/temp/parport/PP_Card.jpg
04:49 PM Tom_itx: http://tom-itx.no-ip.biz:81/~webpage/temp/parport/parport.mp4
04:50 PM Tom_itx: mmm some reason i can't see the mp4
04:56 PM zhanx: weird i can
04:56 PM Tom_itx: works locally
04:56 PM Tom_itx: must be the ff brouser
04:57 PM zhanx: and it green screen out fast for me, oh well
04:58 PM Tom_itx: dunno where the code for it is
05:00 PM polprog: cant see either
05:00 PM polprog: ill open with vlc
05:00 PM polprog: these are cool
05:01 PM polprog: no resistors? eww
05:02 PM Tom_itx: iirc those led have builtin r's
05:04 PM polprog: cool
05:05 PM Tom_itx: i think rue did one too
05:08 PM polprog: i was thinking about using it the other way around
05:08 PM polprog: make a crude PP LA
05:08 PM Tom_itx: mmm maybe i found it
05:11 PM Tom_itx: http://tom-itx.no-ip.biz:81/~webpage/temp/parport/
05:11 PM Tom_itx: maybe it was one of those
05:15 PM Tom_itx: that or it's gone forever
05:19 PM polprog: thats for DOS isnt it
05:19 PM Tom_itx: yeah more than likely
05:20 PM Tom_itx: iirc i did compile it with a generic c compiler again though
05:20 PM polprog: nighters
05:21 PM polprog: i had a hard day..
05:21 PM Tom_itx: gnite
06:08 PM Tom_itx is now known as Tom_L
06:59 PM rue_mohr: :) the last adapter I needed for my tripple head card arrived today
07:02 PM Tom_L: yay
07:02 PM rue_mohr: fine work tom, but I already finished my bender
07:02 PM Tom_L: oh, i almost forgot i posted that :)
07:03 PM zhanx: https://imgur.com/a/vmCkQGo
07:03 PM zhanx: food nom nom's
07:06 PM rue_mohr: mp4 - video format not supported
07:06 PM rue_mohr: hmm
07:08 PM rue_mohr: ok, it played locally
07:08 PM rue_mohr: heh old video?
07:09 PM rue_mohr: ok, the truck went off by towtruck to the repair shop this afternoon
07:09 PM zhanx: weird it played in the browser for me
07:10 PM zhanx: tom couldn't play it, it played local for you and fine for me
07:10 PM rue_mohr: around 2000 It became almost pointless to try to post videos online
07:10 PM rue_mohr: windows was onyl supporting about 1/452 formats
07:11 PM rue_mohr: ok ,the 1G network cards arrived and the freq gen
07:11 PM rue_mohr: and the cable for the monitor
07:23 PM Tom_L: yeah old video. i thought he'd get a kick out of it
07:26 PM Tom_L: rue_mohr, what's your repair bill gonna be?
07:37 PM rue_mohr: about $600
07:37 PM rue_mohr: I'd do it myself if it were summer
07:37 PM Tom_L: valves should be ok
07:38 PM Tom_L: not always the case
07:53 PM rue_mohr: its non-interfearange
07:53 PM rue_mohr: its non-interfearance
08:01 PM Tom_L: still no fpga though?
08:02 PM rue_mohr: nope
08:02 PM rue_mohr: it must be close, the 2nd of the programmers I ordered at the same time arrived
08:02 PM Tom_L: haven't heard anything on mine shipping yet
08:03 PM Tom_L: he replied to my first email right away though
08:05 PM rue_mohr: I wonder where the scope is
08:05 PM Tom_L: lost in the desert?
08:05 PM Tom_L: camel's are kinda slow
08:06 PM rue_mohr: Shipped from the Global Shipping Center to International Destination
08:06 PM rue_mohr: Erlanger, Kentucky 41025-2501
08:06 PM rue_mohr: so, in a few days it should hit vancouver
08:06 PM rue_mohr: heh expected dec 28
08:12 PM Tom_L: mine says dec30 - feb18
08:12 PM Tom_L: quite a span there
08:13 PM Tom_L: still would like to know how to do an 'or' clause in a case statement
08:14 PM Tom_L: if this _or_ that to thus
08:14 PM Tom_L: if this _or_ that do thus
08:15 PM zhanx: odd
08:16 PM zhanx: 5 days in to the test mixes, one cracked into
08:16 PM zhanx: 5 or 6 parts
08:16 PM zhanx: maybe 7
09:13 PM rue_mohr: hmm, mixed results on the chineese signal gen
09:19 PM Tom_L: i thought you had one already
09:20 PM Tom_L: i bet you could make one pretty easy with your fpga
09:20 PM Tom_L: and a little filtering
09:20 PM Tom_L: it will likely come with a 50Mhz clock
09:21 PM zhanx: ok bed time i made the same part twice in a row
09:21 PM Tom_L: nice
09:21 PM Tom_L: you should sleep well after that meal too
09:35 PM rue_mohr: I have an old HP that is just poor
09:35 PM rue_mohr: I built a new one for ttl square waves thats great
09:35 PM rue_mohr: is goes from 0.01Hz to 10Mhz
09:36 PM rue_mohr: this chineese one is output adjustable, various waveform
09:37 PM rue_mohr: +- 13.5V outputs (dual, independent)
09:37 PM rue_mohr: sine triangle, square, some wierd stuff
09:37 PM rue_mohr: the square wave on this is duty adjustable
09:37 PM rue_mohr: to 0.1%, which is awefull, but whatever
09:38 PM rue_mohr: I think I'll be good, I'll retire the old HP ones
09:38 PM rue_mohr: tho, the new one needs a LOT of button pushing!
09:38 PM rue_mohr: wow I was up till 1am last night, and I'm cooked now
09:38 PM rue_mohr: its only 7:30
09:39 PM Tom_L: heh
09:39 PM Tom_L: my kid normally works fri-mon days but they called him in at 6 to work a night shift
09:40 PM Tom_L: so i'll get woken up at 2 when he gets off
09:40 PM Tom_L: they wanted him to work a full shift but he told em no
09:40 PM Tom_L: since his cousin is in from Ga
09:41 PM Tom_L: is woken even a word?
09:43 PM rue_mohr: sure
09:44 PM rue_mohr: I have all the parts in for my tripple head, I need to redo that kernel
09:44 PM rue_mohr: zippo:/# uptime
09:44 PM rue_mohr: 19:38:25 up 290 days, 9:31, 27 users, load average: 1.82, 1.81, 1.94
09:44 PM rue_mohr: and thats gonna mean losing my uptime
09:44 PM Tom_L: :(
09:44 PM rue_mohr: which sucks
09:45 PM Tom_L: yeah mine was upwards of 300 days once
09:46 PM Tom_L: why do you need to change the kernel?
09:49 PM Tom_L: 27 users?
09:53 PM rue_mohr: new video card
09:53 PM rue_mohr: yup 27
09:53 PM rue_mohr: me, me, me, me, me, me, me...
10:23 PM Tom_L: wonder a useful project for a fpga...
10:30 PM Tom_L: other than running a cnc mill :)
10:48 PM rue_mohr: I'd like to use them for state machiens
10:49 PM Tom_L: maybe you can show me about state machines when the time comes
10:50 PM Tom_L: fpga are alot like state machines as far as i know about either
10:50 PM rue_mohr: it should be pretty trivial for them
10:50 PM rue_mohr: with a single 16 entry lookup table and a register, you can do encoder tracking
10:51 PM Tom_L: whats the difference between an encoder and a resolver?
10:51 PM Tom_L: resolvers are far more accurate i think
10:51 PM rue_mohr: resolver is analog
10:51 PM rue_mohr: you get a sin and cos value
10:52 PM rue_mohr: you do math to get your angle
10:55 PM rue_mohr: so, set up a screen, the user has a finite number of reply events
10:55 PM rue_mohr: events modify data
10:55 PM rue_mohr: data modifies the screen
10:55 PM rue_mohr: hmmm
10:58 PM Tom_L: i suppose i should sleep
11:01 PM rue_mohr: its ok you can talk to me rue
11:01 PM rue_mohr: can you help me find a solution
11:02 PM rue_mohr: dunno, having everything piled into one function fixes a lot of access issues