#garfield Logs

Dec 15 2019

#garfield Calendar

12:01 AM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/counter_test/Counter_test.vhd
12:06 AM Tom_L: i think one counter needs one more bit
12:06 AM rue_mohr: whoa
12:06 AM rue_mohr: uh
12:07 AM Tom_L: it starts at the one's and goes to the tens etc
12:07 AM rue_mohr: multiplexed 4 displays?
12:07 AM Tom_L: i think it's the 'when others' statement
12:07 AM Tom_L: yes
12:07 AM rue_mohr: uh
12:08 AM Tom_L: the mux counter is the p_mux
12:09 AM rue_mohr: hey
12:09 AM rue_mohr: did you find out why the copy/paste didnt work?
12:10 AM Tom_L: no, i started over
12:10 AM Tom_L: after doing alot of reading
12:11 AM Tom_L: i put up some led blink code too
12:11 AM Tom_L: 2 different sorts
12:11 AM Tom_L: one is a mux and the other just blinks an led
12:11 AM Tom_L: the mux does different frequencies of blink
12:12 AM Tom_L: gives you an idea how counters work on these
12:12 AM rue_mohr: you dont have any hardware to test with yet do ya?
12:12 AM Tom_L: i've been using this old board
12:12 AM rue_mohr: ok
12:12 AM rue_mohr: the cpld
12:12 AM Tom_L: so i've tested everything i posted
12:12 AM Tom_L: yes cpld
12:12 AM rue_mohr: ok
12:13 AM rue_mohr: the line between cpld and fpga is starting to blurr for me
12:13 AM Tom_L: the only real difference is cpld holds the code and fpga loads it from flash on boot
12:13 AM Tom_L: cpld are probably older tech
12:14 AM rue_mohr: cPld FPga
12:14 AM rue_mohr: hmmm
12:14 AM rue_mohr: I think the idea with an fpga is that your swapping tasks on it
12:14 AM Tom_L: so when you flash a fpga it actually goes into the external flash chip
12:15 AM rue_mohr: when "001" => cntr(3 downto 0) <= D(3 downto 0); an <= "1000";
12:15 AM rue_mohr: what is the name of the cntr
12:15 AM rue_mohr: or, its only unique identity is that its bits 3 to 0 of D
12:15 AM Tom_L: it's the bcd i think
12:16 AM Tom_L: the D is what separates the digits
12:16 AM Tom_L: see how d is divided?
12:16 AM Tom_L: 16bit number
12:16 AM rue_mohr: yes
12:16 AM Tom_L: 4 bits per segment
12:16 AM rue_mohr: but ther should be 4 4bit counters in here
12:16 AM Tom_L: d keeps counting
12:17 AM Tom_L: but it gets split out into 4 4bit values
12:17 AM Tom_L: which are bcd to each segment
12:18 AM rue_mohr: when "001" => cntr(3 downto 0) <= D(3 downto 0); an <= "1000";
12:18 AM rue_mohr: that first => seems like it shouldn't be there
12:18 AM Tom_L: an is the anode of each segment display
12:18 AM rue_mohr: got that
12:19 AM Tom_L: i had it to where a button would blank each digit but i can't get that working here yet
12:19 AM Tom_L: it will go in that case statement eventually
12:19 AM Tom_L: vhd is odd
12:20 AM rue_mohr: https://books.google.ca/books?id=0tk5DwAAQBAJ&pg=PA78&lpg=PA78&dq=downto+when+others+end+process&source=bl&ots=V2cCGoAYl8&sig=ACfU3U12lvdRTles6OTIaG-JaW0bg2gl5g&hl=en&sa=X&ved=2ahUKEwiG1Jnk_7bmAhVItZ4KHTPMCqIQ6AEwAXoECAkQAQ#v=onepage&q=downto%20when%20others%20end%20process&f=false
12:20 AM Tom_L: the => says when 'this' happens => do that
12:20 AM rue_mohr: sseg?
12:20 AM rue_mohr: oh
12:20 AM rue_mohr: nm
12:22 AM Tom_L: but
12:22 AM rue_mohr: I was looking for a ref on the wswtich statement
12:22 AM Tom_L: with case statements you need 'every' possibility accounted for
12:23 AM Tom_L: wswitch?
12:23 AM rue_mohr: I was looking for a ref on the switch statement
12:23 AM Tom_L: not in vhdl
12:24 AM rue_mohr: oh, is this vdhl or vdl?
12:24 AM rue_mohr: vhl
12:24 AM rue_mohr: what is yours
12:24 AM Tom_L: this is vhdl
12:24 AM rue_mohr: with s select
12:24 AM rue_mohr: z <= a when “00”,
12:24 AM rue_mohr: b when “01”,
12:24 AM rue_mohr: c when “10”,
12:24 AM rue_mohr: d when others;
12:24 AM Tom_L: i'm trying to learn both vhdl and verilog
12:24 AM rue_mohr: https://www.google.ca/url?sa=t&rct=j&q=&esrc=s&source=web&cd=3&cad=rja&uact=8&ved=2ahUKEwiG1Jnk_7bmAhVItZ4KHTPMCqIQFjACegQIBBAC&url=http%3A%2F%2Fatlas.physics.arizona.edu%2F~kjohns%2Fdownloads%2Fvhdl%2FVHDL_Lang.pdf&usg=AOvVaw2CJUTFHCUJVB837w-GBBrt
12:25 AM rue_mohr: hmm
12:25 AM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/Synario%20VHDL%20Manual.pdf
12:25 AM rue_mohr: I thnk its best to start playing when I can hands-on
12:25 AM Tom_L: you will get a better understanding of it for sure
12:26 AM rue_mohr: its a bit brain manipulating cause its not happening sequentially
12:26 AM Tom_L: right
12:26 AM Tom_L: things go in parallel
12:27 AM Tom_L: timing becomes an issue on more complex stuff
12:28 AM Tom_L: the stuff between 'begin process' and 'end process' all run in parallel
12:30 AM rue_mohr: yea I need to start with just fowrarding a button
12:30 AM rue_mohr: if I cant get feedback on things actaully working or not I cant get anywhere
12:30 AM Tom_L: i posted that for ya
12:30 AM rue_mohr: I know
12:30 AM rue_mohr: I need to ahve it working
12:30 AM rue_mohr: then modify it
12:30 AM Tom_L: right
12:31 AM Tom_L: that's why i wanted a sim with waveforms
12:31 AM Tom_L: but i'm getting it
12:31 AM Tom_L: look at the counter code a sec...
12:32 AM rue_mohr: its going togethro wrong to me tho
12:32 AM Tom_L: the first p_q process
12:32 AM Tom_L: that just counts clkdiv every system clock until it rolls over
12:32 AM rue_mohr: I thinkI'd do it completely differetnyly, but I cant comment till I can try things infront of me
12:33 AM Tom_L: when the msd goes high, q toggles high and it starts over
12:33 AM Tom_L: then q triggers a D counter below
12:33 AM Tom_L: that's the actual counter for the hex display
12:33 AM rue_mohr: I need one infront of me
12:34 AM Tom_L: p_mux is the mux for all the digits
12:34 AM rue_mohr: so I can try me crazy ideas
12:34 AM Tom_L: it will make alot more sense
12:34 AM rue_mohr: now, have you made a module and instanciated it more than once?
12:35 AM Tom_L: so every time clkdiv15 rolls over, mux goes high
12:35 AM Tom_L: causing cnt to increment
12:36 AM Tom_L: you can but you need different signals
12:36 AM Tom_L: all those process's are driven by the system clock
12:36 AM Tom_L: clk is assigned a pin in the pin file which is the physical clock
12:36 AM Tom_L: you need to find that pin on yours too
12:37 AM rue_mohr: I need the sp6 to arrive
12:37 AM Tom_L: yeah
12:37 AM Tom_L: do you have any 7seg displays?
12:38 AM rue_mohr: hahah
12:38 AM Tom_L: you may need transistors on the anodes
12:38 AM rue_mohr: drawrs
12:38 AM rue_mohr: of driver chips too
12:38 AM Tom_L: i don't think the fpga will drive them direct
12:38 AM Tom_L: you could start setting that up
12:38 AM rue_mohr: I'm surprised I saw someone driving things from the fpga direct
12:38 AM rue_mohr: I dont expect them to drive anyrthing
12:38 AM rue_mohr: but I need to figure out how to parse the tags in this text buffer
12:39 AM Tom_L: on my mill, i drive the limit switches but that's not pulling anything
12:42 AM Tom_L: gnite
12:43 AM rue_mohr: gnight
12:43 AM rue_mohr: I think I'll arrive soon
12:43 AM Tom_L: i gotta make sure my kid gets up at 3 for work
12:43 AM Tom_L: so i better sleep a bit
01:19 PM polprog: evening rue_mohr
01:21 PM Tom_L: afternoon polprog :)
01:23 PM polprog: rue doesnt seem to like my new PBX :(
01:54 PM rue_mohr: hu?
01:56 PM Tom_L: what's wrong with his PBX?
02:02 PM rue_mohr: I'm interested in who made it
02:02 PM rue_mohr: !!
02:03 PM Tom_L: git yer vhdl hat on dude!
02:04 PM Tom_L: i was rather stoked last night after fighting it so long. i literally threw the code together and it worked
02:04 PM Tom_L: now to fight thru adding an 'or' to the case statement
02:06 PM tiwake: heh
02:09 PM Tom_L: did you see it?
02:18 PM tiwake: no?
02:35 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/counter_test/Counter_test.vhd
02:35 PM Tom_L: 4 digit hex counter
02:36 PM Tom_L: ... almost works
02:36 PM Tom_L: digit 4 has an issue
02:40 PM tiwake: hmm
02:41 PM Tom_L: it resets to 0 when the 3rd digit reaches F instead of rolling over to 0x1000
03:02 PM Tom_L: tiwake, you gettin into fpga too?
03:03 PM tiwake: I'm not sure what I'm getting into
03:04 PM tiwake: as much awesome stuff as possible
03:04 PM Tom_L: whatever it is, go head first!
03:04 PM Tom_L: grab a cheap fpga board and join in
03:05 PM Tom_L: i haven't messed with em since 2001
03:05 PM Tom_L: a bit rusty
06:13 PM Tom_L: rue_mohr!
06:13 PM Tom_L: https://www.ebay.com/itm/MTS-205-Amp-MIG-Wire-Feed-Welder-Flux-Cored-Wire-TIG-Stick-Arc-DC-Combo-Welding/401995868880
06:14 PM Tom_L: wtf
07:39 PM rue_mohr: people all day
07:39 PM rue_mohr: yes, the timing gears went in my truck
07:41 PM rue_mohr: hahah should I buy one??
07:41 PM Tom_L: timing gears?
07:42 PM Tom_L: need repairs?
07:42 PM rue_mohr: yea, they blew yesterday
07:42 PM rue_mohr: about 50-300' from the driveway
07:42 PM Tom_L: chain driven?
07:42 PM rue_mohr: its gears
07:43 PM Tom_L: oh
07:43 PM rue_mohr: plastic gears from what I'v read
07:43 PM rue_mohr: known to fail at almost exactly 100k miles
07:43 PM Tom_L: yeah chevy used to do that on some v8s
07:43 PM rue_mohr: I thought theyd beeen done already
07:43 PM rue_mohr: and they might have
07:43 PM Tom_L: but those had a chain
07:43 PM rue_mohr: https://www.ebay.com/itm/Upgraded-40W-USB-CO2-Laser-Engraver-Engraving-Cutting-Machine-Cutter-300x200mm/401995852220
07:43 PM rue_mohr: wtf with that seller?
07:44 PM Tom_L: water pump and gear cover is about it right?
07:44 PM rue_mohr: yea, I was pondering, it , but I dont get enough time to start _AND_ finish it
07:44 PM rue_mohr: so I'll take it to someone
07:45 PM Tom_L: got a project in mind for your fpga?
07:45 PM rue_mohr: I dont even have one for the state machiens I'm building
07:45 PM Tom_L: heh
07:45 PM rue_mohr: I suppose I'm just wandering thru my hobies
07:45 PM Tom_L: i just wanted to learn a little about the languages
07:46 PM rue_mohr: should I even bother buying one of these?
07:46 PM Tom_L: one of what?
07:46 PM rue_mohr: https://www.ebay.com/itm/Upgraded-40W-USB-CO2-Laser-Engraver-Engraving-Cutting-Machine-Cutter-300x200mm/401995852220
07:46 PM rue_mohr: https://www.ebay.com/itm/Upgraded-40W-USB-CO2-Laser-Engraver-Engraving-Cutting-Machine-Cutter-300x200mm/401995852220
07:46 PM rue_mohr: same seller
07:46 PM Tom_L: oh
07:46 PM rue_mohr: like, wtf????
07:46 PM rue_mohr: he screwed up the decimal, that should be 2200
07:46 PM Tom_L: easy to burn the shop down with it
07:46 PM rue_mohr: so will they cancel the orders?
07:47 PM Tom_L: dunno
07:47 PM rue_mohr: is it a scam (somehow)?
07:47 PM Tom_L: i'm not looking for laser anything
07:48 PM Tom_L: one way to find out
07:48 PM rue_mohr: I'll gamble $22
07:48 PM Tom_L: a guy in linuxcnc got a welder coming
07:50 PM rue_mohr: lets see if I havea paypal fight with that one
07:50 PM rue_mohr: if the laser cutter arrives I'm gonna kick myself for not getting a welder too
07:51 PM rue_mohr: tho, I'v already got a much better one
07:51 PM rue_mohr: that said, tradsies
07:51 PM rue_mohr: that said, tradesies
07:52 PM rue_mohr: re the scope
07:52 PM rue_mohr: Hi,
07:52 PM rue_mohr: Yes, I pack all items well and have shipped these scopes all over the world with no issues. It will be packed with padding on all sides.
07:52 PM rue_mohr: Since you bought it using Ebay Global Shipping they handle any insurance. I ship it to Kentucky and they ship it to you.
08:17 PM Tom_L: yeah i don't need another welder
08:17 PM Tom_L: any eta on your scope?
08:31 PM rue_mohr: I was just looking at the tracking, its still in kc
08:31 PM rue_mohr: but I suspect it'll take a flight and make a leap of progress
08:35 PM rue_mohr: Tom_L, did you see the jtag I did up with that serial baord?
08:36 PM rue_mohr: you must have
08:37 PM Tom_L: i don't think i did
08:38 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140585.jpg
08:38 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140586.jpg
08:38 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140592.jpg
08:38 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140595.jpg
08:39 PM rue_mohr: hah, the image of my clean shop made it in there :)
08:39 PM Tom_L: yeah wtf you hiding???
08:41 PM rue_mohr: its a perfectly tidy and clean shop
08:41 PM rue_mohr: ""
08:41 PM Tom_L: will you need a 3.3v source for your board or does it have a reg on board?
08:41 PM rue_mohr: see!
08:41 PM Tom_L: uh huh
08:41 PM rue_mohr: it does, but I was going w/ 5
08:47 PM rue_mohr: interesting
08:47 PM rue_mohr: apparently that programming softawre supprots the version of th cpld I have with the XL postfix
08:47 PM Tom_L: nice
08:47 PM rue_mohr: which means it might not be ahrd for me to hack it to do what I have
08:47 PM rue_mohr: but I'm already working on 3 projects
08:48 PM Tom_L: but this is the newest one so it has priority
10:50 PM Tom_L: ok i found the simulator but don't know how to use it yet