#garfield Logs

Dec 12 2019

#garfield Calendar

07:36 AM zhanx: morning
11:01 AM MoonyMoon: morning
12:51 PM polprog: rue_mohr: what was the FPGA that you are hacking from that phone display? Im thinking about getting a dev board
01:26 PM Tom_L: get something with a spartan6
02:07 PM Tom_itx: https://www.ebay.com/itm/XC6SLX9-Starter-Board-Xilinx-Spartan-6-FPGA/112230313780?_trkparms=aid%3D1110001%26algo%3DSPLICE.SIM%26ao%3D1%26asc%3D20131231084308%26meid%3Daa37def618964066ada0e9d80a2d01f7%26pid%3D100009%26rk%3D2%26rkt%3D12%26mehot%3Dpp%26sd%3D112549920916%26itm%3D112230313780%26pmt%3D0%26noa%3D1%26pg%3D2047675&_trksid=p2047675.c100009.m1982
02:07 PM Tom_itx: https://www.ebay.com/itm/Xilinx-FPGA-Development-Board-Spartan-6-XC6SLX9-256Mb-SDRAM-EEPROM-Camera-VGA/112549920916?hash=item1a347f8094:g:VoUAAOSwNm5Zq2u4
02:07 PM Tom_itx: i'm looking at one of those 2 possibly
02:08 PM Tom_itx: https://www.ebay.com/itm/XC6SLX16-Spartan-6-Xilinx-FPGA-Development-Board-w-32Mb-Micro-SDRAM-Memory/222739647376?hash=item33dc514b90:g:MjgAAOSwa~ldbdA3
02:08 PM Tom_itx: i think rue got that or one very similar to it
06:24 PM rue_mohr: I think he's reffering to the cpld baord
06:24 PM rue_mohr: Tom_L, I posted a pic didn't I?
06:24 PM Tom_L: of the board?
06:24 PM Tom_L: a while back
06:24 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140501.jpg
06:24 PM rue_mohr: on the right
06:25 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/p1140499.jpg
06:25 PM rue_mohr: I made the new jtag
06:25 PM Tom_L: yeah
06:25 PM rue_mohr: but I hit a problem
06:25 PM Tom_L: i'm strongly considering ^^ that $34 board
06:25 PM rue_mohr: can you make me a zip of the files in the start dir?
06:25 PM Tom_L: yeah
06:25 PM Tom_L: you only need 2 files though
06:25 PM Tom_L: i'll help you build the project
06:26 PM Tom_L: so you can get used to doing it
06:27 PM Tom_L: you good tonight or gonna nap?
06:29 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/rue/
06:29 PM Tom_L: rue.rar
06:40 PM rue_mohr: I'm not done work yet, I'm just stalling
06:40 PM rue_mohr: another 6 minutes
06:40 PM Tom_L: is .rar ok?
06:42 PM zhanx: ok 10 samples of concrete done up
06:42 PM zhanx: used a control and 9 mixes
06:43 PM zhanx: with sodium bicarbonate and without
06:43 PM zhanx: pre weights and volumes recorded
06:51 PM zhanx: last motor mount printing
07:18 PM zhanx: rue_mohr, for what its worth the Sodium Bicarbonate added at 1% weight of Portland cement, vastly increase the hydrobonds and setup
07:41 PM Tom_itx: https://www.edaplayground.com/
07:42 PM zhanx: Evening Tom_itx
07:43 PM Tom_itx: hi
07:59 PM rue_mohr: ok I'm done work, I need to unwind for a bit
07:59 PM rue_mohr: arg
07:59 PM rue_mohr: fireworks day
07:59 PM rue_mohr: Tom_itx, then I'll try the example
07:59 PM rue_mohr: how much time do you have left
07:59 PM Tom_L: 2
07:59 PM Tom_L: 2.5
08:01 PM rue_mohr: ok give me a half housr to let all the voices calm down a bit
08:01 PM rue_mohr: nothing like accidently setting off the fire alarm in a whole shopping mall
08:01 PM Tom_L: good for em
08:02 PM rue_mohr: not for my stress level tho
08:02 PM Tom_L: i shut down a whole server access once
08:03 PM Tom_L: about 30 min before quitting time
08:03 PM Tom_L: everybody was trying to get done...
08:17 PM zhanx: Tom_L, i did that today to myself
08:17 PM Tom_L: :)
08:17 PM zhanx: i changed the wrong password
08:24 PM rue_mohr: oops
08:25 PM rue_mohr: nothing like lifting your finger off the enter key to a reboot command just as you realize your logged into the wrong machine
08:42 PM zhanx: oops
08:42 PM zhanx: rue_mohr, i spent the day mixing stuff with timers and scales the whole 9 yards
08:45 PM rue_mohr: 9 yards of tests eh?
08:45 PM zhanx: felt like it
08:46 PM zhanx: 5 minute mix time (printed paddles to mix)
08:46 PM zhanx: weighed everything out 3 times
08:46 PM zhanx: weighed out the test pieces after filling
08:47 PM zhanx: do that 20 times
08:47 PM * zhanx is not a lab tech darn it!
08:47 PM * Tom_L fixes rue's test to fit his board
09:03 PM rue_mohr: ok
09:03 PM rue_mohr: I have no idea what happened to ma
09:03 PM rue_mohr: she was going to stay over
09:03 PM rue_mohr: abnd she left
09:03 PM rue_mohr: which is odd, cause she is really spooked trying to drive at night
09:03 PM rue_mohr: the code I'm modifying from 2006 is doing just fine
09:04 PM rue_mohr: and that gravy on the stove is just not going to thicken up
09:05 PM rue_mohr: Tom_L,
09:05 PM rue_mohr: should we make that project up from your 2 files or should I just grab the tar?
09:06 PM Tom_L: 2 files
09:07 PM Tom_L: start a new project and lemme know when you're ready
09:07 PM Tom_L: copy those 2 files into a directory
09:07 PM rue_mohr: ok, 5 mins
09:07 PM Tom_L: 3
09:09 PM rue_shop2: k
09:10 PM rue_shop2: new project
09:10 PM Tom_L: go to libraries tab
09:10 PM Tom_L: bottom left
09:10 PM Tom_L: right click on work
09:10 PM Tom_L: top left
09:10 PM rue_shop2: hold on
09:10 PM rue_shop2: new project,
09:10 PM rue_shop2: HDL or
09:10 PM Tom_L: yeah
09:11 PM Tom_L: define the chip
09:11 PM Tom_L: xc9500 family
09:11 PM rue_shop2: 9536
09:11 PM Tom_L: yup
09:11 PM rue_shop2: otherwise defaults
09:11 PM Tom_L: yup
09:11 PM rue_shop2: ok, libraries
09:12 PM rue_shop2: add?
09:12 PM Tom_L: top of column, 'work' right click and add the 2 files as new source
09:12 PM rue_shop2: 0 errors
09:12 PM Tom_L: k
09:13 PM Tom_L: back to the design tab
09:13 PM Tom_L: you can double click on any file and it will open
09:13 PM Tom_L: ok bottom window on that side...
09:14 PM Tom_L: expand "Implement Design"
09:14 PM Tom_L: you must have the main file highlited in the upper window to get that
09:14 PM Tom_L: the 3 little boxes beside the file
09:15 PM Tom_L: o
09:15 PM Tom_L: oo
09:15 PM rue_shop2: I had a phonecall from ma
09:15 PM rue_shop2: she is ok
09:15 PM rue_shop2: and I think I followed ok
09:15 PM Tom_L: so where you at now?
09:16 PM rue_shop2: first.v is hilighted, I'm on the design tab
09:16 PM Tom_L: got first.v open?
09:16 PM rue_shop2: and the mouse is over the "Synthasize"
09:16 PM Tom_L: you don't need to...
09:16 PM rue_shop2: I would say its not open
09:16 PM Tom_L: double click on it
09:16 PM rue_shop2: well it is now
09:17 PM Tom_L: it will open on the right
09:17 PM rue_shop2: y
09:17 PM Tom_L: ok bottom window
09:17 PM Tom_L: go down to 'generate programmign file'
09:17 PM Tom_L: and double click on it
09:17 PM rue_shop2: k, working
09:17 PM Tom_L: oh
09:17 PM rue_shop2: ....
09:17 PM Tom_L: you need to deside on input and ouput pin
09:18 PM Tom_L: one for the button and one for the led
09:18 PM rue_shop2: you set one up in here tho right?
09:18 PM Tom_L: not really
09:18 PM rue_shop2: oh, that might be the warning
09:18 PM Tom_L: just pin 1 pin2
09:18 PM Tom_L: which are wrong
09:18 PM Tom_L: so open that file too
09:18 PM Tom_L: first.ucf
09:18 PM rue_shop2: thats ok, if I have a project I can run the program and see if I can even connect to the ship
09:18 PM Tom_L: find some pins you can use and edit that file
09:19 PM rue_shop2: I'll have to sit down for a while and figure out whats connected to all the pins
09:19 PM Tom_L: ok
09:19 PM rue_shop2: before I can hook up leds and buttons
09:19 PM Tom_L: but you need to change that file before downloading it
09:19 PM rue_shop2: lets see if I can pp connect :)
09:19 PM Tom_L: ok, 'Tools'
09:19 PM rue_shop2: which file is it?
09:19 PM Tom_L: impact
09:19 PM rue_shop2: ok, hold on
09:19 PM rue_shop2: where do I go to change the pins?
09:20 PM rue_shop2: oh ucf
09:20 PM Tom_L: in the first.ucf file
09:20 PM rue_shop2: ok
09:20 PM Tom_L: you can also do it from that menu on the left
09:20 PM rue_shop2: do all pins start with P ?
09:20 PM Tom_L: yes
09:20 PM Tom_L: that's why i gave you that format
09:20 PM rue_shop2: ok, tools->imact
09:20 PM rue_shop2: No iMPACT project file exists. Click OK to open iMPACT. You will then need to define a configuration chain, designate which device in that chain is the target device, and then save the iMPACT project file. Once this step is completed, subsequent runs of the 'Configure Target Device' process can program the target device without needing to open the iMPACT GUI.
09:21 PM Tom_L: yes
09:21 PM rue_shop2: ok
09:22 PM rue_shop2: <click> <click> <click>... add device?
09:22 PM Tom_L: umm i think so yes
09:22 PM Tom_L: actually
09:22 PM Tom_L: no
09:22 PM rue_shop2: ok
09:22 PM Tom_L: Edit
09:22 PM Tom_L: launch wizzard
09:23 PM rue_shop2: ah! ok
09:23 PM Tom_L: auto connect
09:23 PM rue_shop2: htag, ok
09:23 PM Tom_L: click ok
09:24 PM rue_shop2: Can not find cable, check cable setup !
09:24 PM Tom_L: do that
09:24 PM Tom_L: :)
09:25 PM Tom_L: if it's connected and wired right it will find a device
09:25 PM Tom_L: are you on win or linux?
09:25 PM rue_shop2: yea I dont have the cpld on there yet
09:25 PM rue_shop2: stand by
09:25 PM Tom_L: that's probably the issue
09:27 PM rue_shop2: no joy
09:28 PM rue_shop2: there must be a feedback pin in there on the cable that tells it that its got a programmer connected
09:30 PM rue_shop2: hmm
09:30 PM rue_shop2: looks like we need to refine that a bit
09:30 PM rue_shop2: ok
09:32 PM rue_shop2: WARNING:iMPACT - Module windrvr6 is not loaded. Please reinstall the cable drivers. See Answer Record 22648.
09:33 PM Tom_L: what os?
09:33 PM rue_shop2: linux
09:33 PM rue_shop2: I 777 permissioned all the parallel files
09:33 PM Tom_L: it won't work
09:33 PM Tom_L: period
09:33 PM rue_shop2: oh
09:34 PM rue_shop2: hmm
09:34 PM Tom_L: there is no windrvr6
09:34 PM rue_shop2: that was a long road
09:34 PM rue_shop2: I'll have to wait for that chineese programmer to arrive
09:34 PM rue_shop2: no
09:34 PM Tom_L: i spent 2-3 days trying that
09:34 PM rue_shop2: the fpga
09:34 PM rue_shop2: I can use the usb thing with the fpga
09:34 PM Tom_L: no win box to try it on?
09:34 PM rue_shop2: but not the cpld
09:35 PM rue_shop2: I have one but I'd rather let it sleep
09:35 PM Tom_L: i can't figure out how to invert the signal
09:35 PM rue_shop2: need a linux process anyhow
09:35 PM rue_shop2: put an inverter on it
09:35 PM rue_shop2: its a cpld
09:35 PM Tom_L: so instead of 'always led <= button'
09:35 PM Tom_L: i want it inverted
09:35 PM rue_shop2: never led <= button
09:35 PM Tom_L: and i can't figure that line out
09:35 PM rue_shop2: /button ?
09:36 PM Tom_L: i've tried numerous things
09:36 PM Tom_L: except the right one
09:37 PM Tom_L: ah hah
09:38 PM Tom_L: hahahha
09:38 PM Tom_L: always led <= !button;
09:39 PM Tom_L: will invert it
09:41 PM rue_mohr: well that makes sense
09:41 PM rue_mohr: or maybe always led <= button ^ 1
09:44 PM Tom_L: testing with 2 leds now
09:44 PM Tom_L: one on one off
09:45 PM Tom_L: works
09:45 PM Tom_L: uploading expanded file...
09:46 PM Tom_L: download your first.v again
09:46 PM Tom_L: and you'll have the changes using 2 leds
09:47 PM Tom_L: use // to comment out a line if you don't want it
09:47 PM Tom_L: also the first.ucf
09:47 PM Tom_L: i added an led to it too
09:49 PM Tom_L: and a 'begin end' sequence
09:54 PM rue_mohr: let me hold off till I can do soemthing with it
09:54 PM Tom_L: yeah it's no fun to sit and watch
09:54 PM rue_mohr: the fpga board shouldn't take much longer to get here
09:54 PM Tom_L: you still need a way to upload to either one
09:54 PM rue_mohr: I'm working on a state machine program I ported to C in 2006
09:55 PM rue_mohr: not sure when I make the origional basic ver
09:55 PM rue_mohr: I have it
09:55 PM rue_mohr: the usb thing I got can upload to that fpga
09:55 PM rue_mohr: just not the cpld
09:55 PM Tom_L: oh
09:55 PM Tom_L: odd
09:56 PM rue_mohr: open source
09:56 PM Tom_L: xc2sprog?
09:56 PM Tom_L: 3
09:56 PM rue_mohr: yes
09:57 PM Tom_L: you might look at this one too:
09:57 PM Tom_itx: https://github.com/cambridgehackers/fpgajtag
10:00 PM Tom_itx: http://www.pittnerovi.com/jiri/hobby/electronics/verilog/
10:01 PM Tom_itx: https://www.fpgarelated.com/showthread/comp.arch.fpga/49958-1.php
10:02 PM Tom_L: might look at that one too
10:04 PM rue_mohr: mmm
10:04 PM rue_mohr: I need the people who use it too
10:05 PM Tom_itx: https://forum.digilentinc.com/topic/1193-moving-to-linux/
10:09 PM Tom_L: at least i learned a _little_ tonight
10:10 PM rue_mohr: :)
10:10 PM rue_mohr: I have another hour:40 to live
10:11 PM Tom_L: i could stay but you don't have any toys to play with
10:11 PM rue_mohr: cant stay up late on friday, I have to get up early sat and get a tree
10:11 PM rue_mohr: cant work on projects sat night, company christmas party
10:12 PM rue_mohr: cant stay up late on sun, hav to get up mnday for work
10:12 PM Tom_L: tiz the season
10:12 PM Tom_L: i barely get anything done anymore
10:14 PM MoonyMoon: rue_mohr: damn thats a sad weekend for you
10:14 PM rue_mohr: yea
10:14 PM rue_mohr: have to give a little
10:14 PM MoonyMoon: you know what I did today?
10:15 PM rue_mohr: nothing.
10:15 PM MoonyMoon: HA
10:15 PM rue_mohr: ?
10:15 PM MoonyMoon: you would think so!
10:15 PM MoonyMoon: but in fact I cut a car in half
10:15 PM rue_mohr: helping the old guy again?
10:15 PM MoonyMoon: no that olc guy is a PSYCO
10:16 PM MoonyMoon: I dont even want to lok at that big old stupid pie face
10:19 PM rue_mohr: hey
10:19 PM rue_mohr: you should see this cool 1980 text program I mae
10:19 PM zhanx: can i make fly ash at home?
10:20 PM rue_mohr: I think you need coal
10:20 PM zhanx: i have coal
10:25 PM Tom_L: rue_mohr, one of the links i posted tonight has a state machine implementation
10:26 PM rue_mohr: ok, I'v got my head burried deep in this thing just now
10:27 PM Tom_L: https://www.edaplayground.com/x/B
10:30 PM Tom_L: MoonyMoon so you were bored and just randomly sliced a car in half?
10:30 PM rue_mohr: probably
10:31 PM rue_mohr: he could be driving the front half here now
10:31 PM Tom_L: i bet it would be relatively easy for you to write a FSM in verilog
10:31 PM rue_mohr: I hope not, there is no room for him to turn around in the driveway
10:31 PM rue_mohr: could be, I'm persuing some odl stuff I didn't finish
10:32 PM Tom_L: that's kinda what fpgas are all about anyway
10:34 PM Tom_L: rue_mohr, do you see any flash on this board to store a program? https://www.ebay.com/itm/XC6SLX9-Starter-Board-Xilinx-Spartan-6-FPGA/112230313780?_trkparms=aid%3D1110001%26algo%3DSPLICE.SIM%26ao%3D1%26asc%3D20131231084308%26meid%3Daa37def618964066ada0e9d80a2d01f7%26pid%3D100009%26rk%3D2%26rkt%3D12%26mehot%3Dpp%26sd%3D112549920916%26itm%3D112230313780%26pmt%3D0%26noa%3D1%26pg%3D2047675&_trksid=p2047675.c100009.m1982
10:36 PM rue_mohr: huh no
10:36 PM rue_mohr: btoom?>
10:37 PM Tom_L: what's the W25Q32BV?
10:37 PM Tom_L: is that flash?
10:37 PM rue_mohr: Configuration device: W25Q32BV
10:37 PM Tom_L: yeah
10:37 PM rue_mohr: sounds like 32M flash
10:37 PM Tom_L: it must be flash
10:38 PM Tom_L: otherwise you'd have to load the program every reset
10:38 PM Tom_L: ok i'm considering that board then
10:39 PM Tom_L: 3V
10:39 PM Tom_L: 32
10:39 PM Tom_L: M
10:39 PM Tom_L: -
10:39 PM Tom_L: BIT
10:39 PM Tom_L: SERIAL FLASH MEMORY
10:39 PM Tom_L: WITH
10:39 PM Tom_L: DUAL
10:39 PM Tom_L: QUAD
10:39 PM Tom_L: SPI
10:39 PM Tom_L: & QPI
10:40 PM Tom_L: that must be it by the blue D connector
10:40 PM rue_mohr: this is why I need a person
10:40 PM rue_mohr: your my coding person
10:40 PM rue_mohr: and I have a twitter guy as my flash person
10:41 PM Tom_L: you're in deep shit if i'm your coding person!
10:41 PM rue_mohr: hahah
10:42 PM rue_mohr: I'm fine
10:42 PM rue_mohr: user said 0x10
10:42 PM rue_mohr: hmmmm
10:43 PM rue_mohr: 2006
10:43 PM rue_mohr: hmm
10:43 PM rue_mohr: wonder when I left the programming company
10:44 PM rue_mohr: I think it was about 2002
10:45 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/VERILOGmanual.pdf
10:45 PM Tom_L: you should grab that too
10:45 PM rue_mohr: I'm going to hold off or I wont get anything else done ;)
10:46 PM Tom_L: i didn't say you had to open it
10:46 PM rue_mohr: got it!
10:46 PM rue_mohr: ok this is good
10:46 PM rue_mohr: the default action works
10:47 PM rue_mohr: now I ahve a fuction thats about 500 lines to fix up
10:50 PM rue_mohr: the program allows you to interactivly build and simulate an fsm
10:50 PM Tom_L: huh
10:50 PM Tom_L: i think you can program via schematic in webpack as well as code
10:52 PM MoonyMoon: Tom_L: Wish I was cool enough to cut cars at random
10:52 PM MoonyMoon: this one was a parts car im going to be taking away in pieces :P
10:52 PM MoonyMoon: I mostly got it for the VIN
10:53 PM Tom_L: :O
10:53 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/fsmprog.jpg
10:53 PM rue_mohr: this is it in simulation mode
10:54 PM Tom_L: goto
10:54 PM Tom_L: blaaah
10:55 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/fsmprog2.jpg
10:55 PM rue_mohr: there is edit mode
10:55 PM rue_mohr: yea
10:55 PM rue_mohr: the entire fsm is presented like a kinda basic program
10:56 PM rue_mohr: you can edit the "then output" and the "goto n"
10:56 PM rue_mohr: the "line numbers" and the input conditions are fixed
10:56 PM Tom_L: looks a little like the mux case statement
10:56 PM rue_mohr: :) yes
10:57 PM rue_mohr: the program compiles the whole thing into a memory image
10:57 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/top.v
10:57 PM Tom_L: bottom
10:57 PM rue_mohr: exactly
10:57 PM rue_mohr: its just a lookup table
10:57 PM rue_mohr: but with a feedback register
10:58 PM rue_mohr: MoonyMoon,
10:58 PM rue_mohr: what do you think ?
10:58 PM Tom_L: things run parallel on fpga
10:58 PM rue_mohr: they do here too
10:58 PM Tom_L: so you'd have 3 begin... end sequences
10:58 PM rue_mohr: the goto and part of the address are the feedback on the fsm
10:59 PM Tom_L: did you look at the final first.v?
10:59 PM rue_mohr: well... that table goes to 512
10:59 PM Tom_L: with the begin end
10:59 PM rue_mohr: not yet, I been trying to clean this thing up
10:59 PM rue_mohr: I wanted to go places with it
10:59 PM rue_mohr: so,
10:59 PM rue_mohr: I converted it to C
10:59 PM rue_mohr: but then realized I wanted to group the inputs
10:59 PM rue_mohr: so I wrote another program
10:59 PM rue_mohr: "combo"
11:00 PM rue_mohr: it allows you to make input, feedback, and output groups
11:00 PM rue_mohr: then helps frame out the fsm for you
11:00 PM rue_mohr: but doesn't do any content
11:00 PM Tom_L: i wish i could 'see' the ouput of these programs in a sim
11:00 PM Tom_L: waveforms
11:00 PM rue_mohr: cause I realized I wanted to be abel to do content via equations
11:01 PM Tom_L: webpack may do it but i've never looked into it
11:01 PM rue_mohr: so I wrote another program "table2ihex"
11:01 PM rue_mohr: it takes equations and builds memory tables
11:01 PM rue_mohr: but it cant group the inputs
11:01 PM rue_mohr: so, between these 3, I have what I want to end up with
11:02 PM rue_mohr: but I'd kinda forgotten as I went down the road
11:02 PM rue_mohr: cause table2ihex resulted in me writing a math equation parser
11:02 PM rue_mohr: which became my command line calculator
11:02 PM rue_mohr: by then I'd forgotten about the state machine thing
11:03 PM rue_mohr: so I'm kinda doing some code welding
11:03 PM rue_mohr: and these peices dont 100% fit togethor
11:04 PM zhanx: so 7 hours set results are in
11:04 PM rue_mohr: its entirely possible that working on this will result in my writing an event based gui system for a text console
11:04 PM rue_mohr: zhanx, k
11:04 PM zhanx: sand mix with sodium bicarbonate are the best with no vibration
11:04 PM rue_mohr: wait
11:04 PM zhanx: no air bubbles trapped
11:05 PM rue_mohr: for concrete or making casting molds?
11:05 PM zhanx: both
11:05 PM rue_mohr: ok, point
11:05 PM rue_mohr: if the casting sand cant 'vent off' it'll explode
11:05 PM rue_mohr: it needs to be able to breathe
11:05 PM zhanx: yep
11:05 PM rue_mohr: for 2.5cm iirc
11:05 PM rue_mohr: was it 1.5cm?
11:06 PM rue_mohr: I toook a pic
11:06 PM Tom_L: the hourglass just emptied.
11:06 PM Tom_L: gnite
11:06 PM rue_mohr: gn
11:06 PM rue_mohr: zhanx,
11:06 PM rue_mohr: http://ruemohr.org/~ircjunk/tempimage/fsmprog2.jpg
11:06 PM rue_mohr: glance at that
11:06 PM rue_mohr: I know your head is somethwere else
11:07 PM zhanx: k
11:07 PM rue_mohr: its implemented with a rom, and a D latch
11:08 PM rue_mohr: hahah
11:08 PM rue_mohr: ok, I origionally wrote this in '98
11:08 PM zhanx: rue_mohr, mind you i set up cement in less than 5 hours etc
11:08 PM rue_mohr: converted it to C in 2006
11:08 PM rue_mohr: and am working on it now
11:08 PM rue_mohr: hahahaha
11:10 PM rue_mohr: I better be carefull or it mmight be 32 years before I work on it again
11:14 PM rue_mohr: so I want to implement console templated pages
11:26 PM rue_mohr: ok, 1 fuinction 317 lines of code