#garfield Logs

Dec 11 2019

#garfield Calendar

02:05 AM rue_bed2: morning
02:09 AM rue_bed2: was I being too quiet?
02:32 AM polprog: not really
02:32 AM polprog: isnt it like midnight at your place?
04:57 AM Tom_L: morning
04:58 AM polprog: i think ill try my hand at etching PCBs again...
04:58 AM polprog: ill make up a phone line simulator
05:05 AM zhanx: morning. seems I bought the wrong tea, ugh
05:07 AM polprog: morning. what kind of tea is wrong?
05:07 AM zhanx: loose leaf vs bagged
05:09 AM polprog: i take it you bought bagged
05:09 AM zhanx: no i bought loose leaf and have no way to make it
03:35 PM zhanx_ is now known as zhanx
07:16 PM rue_mohr: hey
07:24 PM Tom_L: straw
07:27 PM rue_mohr: really tired may nap
07:27 PM rue_mohr: supper is started cats are fed
07:27 PM Tom_L: most of my night chores are done
07:28 PM rue_mohr: I'll see if I can start assmebly of the jtag tonight
07:31 PM Tom_L: verilog seems easier to soak in for me than vhdl
07:35 PM Tom_L: a sim would still be helpful to visualize what the code is doing
07:40 PM rue_mohr: ok
07:41 PM rue_mohr: I need to make something for the 9536, do you think you know how to get me thru it?
07:41 PM Tom_L: what do you want to make?
07:41 PM rue_mohr: button foward to led is just fine
07:41 PM Tom_L: sure
07:41 PM rue_mohr: I will have enough of a time working out io lines, but the code is an early step
07:41 PM rue_mohr: not just not tho, no brainpower left
07:42 PM Tom_L: i don't have much left tonight either
07:43 PM Tom_L: want verilog or vhdl?
07:44 PM Tom_L: ok, so verilog it is :)
07:46 PM Tom_itx: filename: first.v
07:46 PM Tom_itx: module first(led, button);
07:46 PM Tom_itx: input button;
07:46 PM Tom_itx: output led;
07:46 PM Tom_itx: always led <= button;
07:47 PM Tom_itx: endmodule
07:47 PM Tom_itx: or always led /= button;
07:48 PM Tom_itx: filename: first.ucf
07:49 PM Tom_itx: NET "led" LOC = "P1"
07:49 PM Tom_itx: NET "button" LOC = "P2"
07:49 PM Tom_itx: pick your pins
07:50 PM Tom_itx: 2 files
07:50 PM Tom_itx: pulled straight outta my butt but they look rihgt
07:52 PM Tom_itx: the .ucf file is where your code meets the hardware
07:53 PM Tom_L: i'll check in later
10:06 PM Tom_L: ok, it's later.
10:08 PM rue_shop1: hey
10:12 PM Tom_L: get anywhere?
10:12 PM Tom_L: i got in a nice long nap
10:13 PM rue_shop1: I woke up
10:26 PM Tom_L: ^^ you could paste that into 2 files and try it
10:26 PM Tom_L: it _should_ work
10:30 PM rue_shop1: I'm soldering 100 ohm resistors to a db25
10:30 PM rue_shop1: I'm not ready yet
10:34 PM Tom_L: the chip was a 9535?
10:35 PM rue_shop1: 36?
10:35 PM rue_shop1: It was listed
10:35 PM rue_shop1: I'd like to see if I can get it to ID the chip
10:35 PM rue_shop1: tho, I guess I have to have a project before I can do that
10:38 PM Tom_L: ok the test doesn't compile yet
10:43 PM rue_shop1: heh
10:44 PM rue_shop1: hmm, what do I do for 5V power....
10:45 PM Tom_L: usb
10:45 PM rue_shop1: I either hang a usb off it, or do something else
10:45 PM rue_shop1: hahah
10:46 PM rue_shop1: sold
10:49 PM Tom_L: ok we have a test file
10:49 PM Tom_L: copy this folder
10:50 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/rue/
10:50 PM rue_shop1: busy cutting the usb end off another mouse
10:50 PM Tom_L: really all you need is those first 2 files
10:51 PM Tom_L: i just created a project for you and it generates the others
10:51 PM Tom_L: mine keeps getting shorter cause the wires are too thin
10:51 PM Tom_L: and i break em
10:54 PM rue_shop1: im almost out of time
10:54 PM Tom_L: i am out of time
10:55 PM Tom_L: the output file is .jed
10:56 PM Tom_L: schematic file is .ucf
10:56 PM Tom_L: the .jed is in the lower directory
10:57 PM Tom_L: you need to change the pins in the schematic file before downloading it
10:57 PM Tom_L: (and re'synthesize it)
10:58 PM Tom_L: (in the list in the left pane) click on "Generate Programming File"
10:58 PM Tom_L: or right click on it and 'rerun all'
10:59 PM rue_shop1: ok, I have an adapter that migh twork
10:59 PM rue_shop1: no I need labels
11:11 PM Tom_L: gnite
11:13 PM rue_shop1: ok, I have an adapter that might work
11:15 PM Tom_L: well pull those files into a project folder and try to get a device id from impact
11:15 PM rue_shop1: I have to eat and sleep
11:15 PM rue_shop1: I'll see if it goes easy here
11:15 PM Tom_L: ok
11:15 PM Tom_L: good night
11:18 PM Tom_L: don't forget to change the pin file to your physical pins you want to use
11:30 PM rue_shop2: <Tom_L> really all you need is those first 2 files
11:34 PM rue_shop2: open project
11:34 PM rue_shop2: thats not a project
11:34 PM rue_shop2: xise...
11:35 PM rue_shop2: ok, there it is...
11:36 PM rue_shop2: a zip would be awesome...
11:36 PM rue_shop2: wget....
11:37 PM rue_shop2: oh I cant
11:39 PM rue_shop2: I cant mantually click all these
11:39 PM rue_shop2: I'm out of time
11:40 PM rue_shop2: out of time
11:40 PM rue_shop2: out of time