#garfield Logs

Dec 10 2019

#garfield Calendar

12:18 AM zhanx_ is now known as zhanx
05:11 AM Tom_L: right, that's what i've been using
05:12 AM Tom_L: makes sense the other one would be a .bit file since it goes to flash memory
08:53 AM rue_mohr: oooo
06:07 PM Tom_L: what a day.
07:29 PM rue_mohr: yes?
07:33 PM Tom_L: wore out
07:46 PM Tom_L: any news on your little board?
08:07 PM rue_mohr: I can ID it
08:07 PM rue_mohr: so its good
08:07 PM rue_mohr: I cant program it with what I have and know
08:08 PM rue_mohr: but I know what to do to make a new pp programmer that might get me by
08:20 PM Tom_L: using the IC like off my board?
08:20 PM Tom_L: for $20 you can get a good usb one
08:25 PM Tom_L: what's the little board need to be able to use it?
08:26 PM rue_bed2: the cpld one?
08:26 PM rue_bed2: or the jtag
08:27 PM Tom_L: the jtag one
08:27 PM rue_bed2: software that can do the xc9536
08:28 PM rue_bed2: the software for the jtag cant do the cx9536
08:28 PM Tom_L: oh webpack doesn't support that one does it...
08:28 PM rue_bed2: this is the jtag issue I have
08:28 PM rue_bed2: yea, webpack wouldn't support the 232 chip
08:29 PM Tom_L: so the pp one works good
08:29 PM Tom_L: i'd just make one of those
08:29 PM Tom_L: i just didn't have a pp on the pc
10:24 PM Tom_itx: https://blog.digilentinc.com/battle-over-the-fpga-vhdl-vs-verilog-who-is-the-true-champ/
10:25 PM rue_mohr: https://hackaday.io/project/4159-sdramthing45-logic-analyzer/details
10:25 PM rue_mohr: trying to understand this one for a bit
10:25 PM Tom_L: at least i know which is which now :)
10:25 PM rue_mohr: SDRam is HORRID
10:27 PM Tom_L: so i've been trying to port verilog to vhdl
10:27 PM rue_mohr: I recall
10:28 PM rue_mohr: did you get it?
10:28 PM Tom_L: no, i haven't really been working on it
10:32 PM Tom_L: just opened it up a little tonight to look at
10:32 PM rue_mohr: its 8:30
10:32 PM rue_mohr: I die at 9:45
10:32 PM Tom_L: 10:26
10:32 PM Tom_L: somebody's off a bit
10:33 PM rue_mohr: I want to unsolder the keyboard decoder from my avr bard (an oops last night) and put all the parts for a pp programmer in a box
10:33 PM rue_mohr: cause I'm gonna do one different
10:33 PM rue_mohr: that one I made was special for a project at the time
10:33 PM rue_mohr: which has been long lost
10:33 PM Tom_L: shouldn't be many pp jtag parts
10:33 PM rue_mohr: I guess I'd better light a fire
10:33 PM rue_mohr: I'm settin the bar low
10:34 PM rue_mohr: weeknights are pathetic
10:34 PM Tom_L: yeah
10:34 PM Tom_L: every time i start something i get interrupted
10:34 PM Tom_L: if i don't start nobody says a damn thing
10:35 PM Tom_L: i wish webpack had a 'scope' feature so i could see the outputs of things live
10:55 PM rue_shop1: wow I turned the monitors off in the shop and now its cold
10:55 PM rue_shop1: ok, 4x 100R resistors
10:56 PM rue_shop1: one db25m
10:56 PM rue_shop1: and one plastic shroud
10:56 PM rue_shop1: also need some wires
10:56 PM rue_shop1: and figure out power
10:56 PM Tom_L: not gonna use the buffer chip?
10:58 PM Tom_L: verilog seems to be more popular
10:58 PM Tom_L: more 'c' like
11:01 PM Tom_L: it's funny, the company that owns the business my kids work at were instrumental in making verilog a public language in 1990
11:01 PM Tom_L: i found that out by accident
11:02 PM Tom_L: IEEE standardized it in 1995
11:06 PM Tom_L: sry, got sidetracked...
11:06 PM Tom_L: gnite
11:07 PM rue_shop1: no, I have a 5V chip, I'll skip the buffer for now
11:08 PM rue_shop1: #@$%$@#%&@$^
11:08 PM rue_shop1: the wires on this keyboard come out the bottom and its *&^*&^ me up for the second time in a row now
11:20 PM rue_shop1: got it, all the parts are in a box
11:21 PM rue_shop1: and the keyboard circuit for the avr is still messed up