#garfield Logs

Nov 29 2019

#garfield Calendar

02:58 AM rue_mohr: hmm
03:02 AM rue_mohr: well, I finished the touchpanel project and fixed the boiler
04:38 AM rue_bed2: via the txt, it needs the kernel source, thats for debian right?
04:39 AM rue_bed2: it gets all complicated with the way the kernels are done now to add custom drivers
04:39 AM rue_bed2: I can walk you thru setting up what it needs for kenel source, I think
04:39 AM rue_bed2: debian did an annoying thing, they added a 'key' to the kernel
04:40 AM rue_bed2: in the process of building an asterisk machine I learned most of everything to do a normal debian kernel
04:45 AM rue_mohr: heh, windows XP is limited to 2 monitors?
04:45 AM rue_mohr: hah
05:06 AM Tom_L: i've built a kernel before but this is a little different i think
05:07 AM Tom_L: it's just not finding everything it needs yet
05:22 AM rue_mohr: yea
05:22 AM rue_mohr: so you have the kernel source installed, right?
05:22 AM rue_mohr: that package I mentioned linux-source ?
05:23 AM rue_mohr: and its build deps
05:35 AM rue_bed2: so, form what I can tell, I should start writing a 2d drafting program, keep working on the cam program, and make a code editor
05:35 AM rue_bed2: oh, and to do it I need to write a gui library
05:35 AM rue_bed2: arg
07:17 AM Tom_L: i installed the source
07:20 AM Tom_L: bak after work
04:11 PM zhanx: needs clean up work etc. but update https://imgur.com/a/fqtDwO2
04:11 PM zhanx: ordered 1 nema 23 also for it
04:20 PM rue_mohr: hmmm
04:21 PM rue_mohr: I wonder how long it would live just being plastic, aka not casting it
04:21 PM zhanx: 20 seconds?
04:21 PM rue_mohr: mortar or agraggated cement?
04:21 PM zhanx: mortar really
04:21 PM rue_mohr: cause, I dont think mortar would wear it down all that quick
04:22 PM zhanx: well soon I will able to test it
04:22 PM rue_mohr: you realize setup and cleanup of that thing wont be a minor task
04:22 PM zhanx: correct
04:22 PM rue_mohr: I think my 12' mecha would be the same
04:23 PM rue_mohr: its like "is there any way I can just do this by hand"
04:31 PM zhanx: true
04:31 PM zhanx: also i am adding water ports to help clean the head
04:48 PM jymmmm: mortar? for what?
04:49 PM rue_mohr: jymmmm, to dispose of the body
04:50 PM jymmmm: rue_mohr: lime and lye???
04:51 PM rue_mohr: is that why its so hard on my hands?
04:52 PM jymmmm: rue_mohr: acid proof rubber gloves, elbow high $6 at harbor freight
06:12 PM zhanx: when printing from the sd card, too many files on it causes crashes
07:03 PM rue_mohr: yea, I suggest pronterface
07:11 PM zhanx: well this computer is old so
07:12 PM zhanx: sd card ensures it prints right
07:16 PM rue_mohr: ? ok
07:18 PM jymmmm: ko?
07:22 PM rue_mohr: nowonder I hate working on software
07:22 PM rue_mohr: I'm already project thrashing
07:28 PM rue_mohr: I want a code editor that uses metadata to allow me to colourize code
07:28 PM rue_mohr: and organize blocks of it in a position free manner
07:28 PM rue_mohr: like paragraphs on grid paper
07:28 PM zhanx: that would be nice
07:28 PM rue_mohr: and zoom in and out
07:29 PM rue_mohr: svg have all the right mechanics to do it
08:14 PM zhanx: need to figure out how i want to drive this thing soon
08:22 PM MoonyMoon: int Check(char* arg) {
08:22 PM MoonyMoon: if ( arg[0] != '-' ) {
08:22 PM MoonyMoon:
08:22 PM MoonyMoon: return 0;
08:22 PM MoonyMoon: }
08:22 PM MoonyMoon:
08:22 PM MoonyMoon: switch( arg[1] ) {
08:22 PM MoonyMoon:
08:22 PM MoonyMoon: case 'w': return 1; //no need to break here
08:22 PM MoonyMoon: case 'r': return 1;
08:22 PM MoonyMoon: default : return 0;
08:22 PM MoonyMoon: }
08:23 PM MoonyMoon: 080484a4 <Check>: 80484a4: 55 push %ebp 80484a5: 89 e5 mov %esp,%ebp 80484a7: 8b 45 08 mov 0x8(%ebp),%eax 80484aa: 0f b6 00 movzbl (%eax),%eax 80484ad: 3c 2d cmp $0x2d,%al
08:23 PM MoonyMoon: ooh that looks like shit
08:29 PM rue_mohr: MoonyMoon, your calling it wrong
08:29 PM MoonyMoon: ?
08:29 PM rue_mohr: put all the code in pastebin
08:29 PM rue_mohr: http://paste.debian.net/
08:30 PM MoonyMoon: http://paste.debian.net/1118598/
08:31 PM MoonyMoon: should I dereferance *argv[1] ??
08:32 PM MoonyMoon: I need more dereferancing
08:32 PM rue_mohr: I dont know yet
08:34 PM rue_mohr: char* foo[] isn't quite the same as char ** foo
08:34 PM rue_mohr: the difference is subtle
08:35 PM MoonyMoon: yeah :/ :?
08:37 PM rue_mohr: oh
08:37 PM rue_mohr: well
08:37 PM rue_mohr: yea
08:37 PM rue_mohr: when it segfaults, how many options you calling it with?
08:37 PM rue_mohr: if ( argc < 2 ) {
08:37 PM rue_mohr:
08:37 PM rue_mohr: puts("pop");
08:37 PM rue_mohr: // Usage("pop");
08:37 PM rue_mohr: return;
08:37 PM rue_mohr: }
08:38 PM rue_mohr: cause, if ( argv[1][1] == 'w' ) WriteTape();
08:38 PM rue_mohr:
08:38 PM rue_mohr: if ( argv[1][1] == 'r' ) ReadTape();
08:38 PM rue_mohr: those lines cause it to segfault if the arguments dont exist
08:39 PM MoonyMoon: hmmmm
08:39 PM rue_mohr: ./a.out a b c d
08:39 PM rue_mohr: usage: ./a.out [OPTION] [FILE]
08:39 PM MoonyMoon: I have to take my time on this
08:39 PM rue_mohr: --
08:39 PM rue_mohr: zippo:/files/programming/c/tests# ./a.out a b c d
08:39 PM rue_mohr: usage: ./a.out [OPTION] [FILE]
08:39 PM MoonyMoon: :(
08:40 PM MoonyMoon: OH
08:40 PM MoonyMoon: !
08:40 PM MoonyMoon: Gotcha, I was just tripping over myself
08:40 PM MoonyMoon: I can fix this up
08:40 PM MoonyMoon: jeeze
08:40 PM MoonyMoon: thanks man
08:41 PM rue_mohr: <quack>
08:43 PM MoonyMoon: moon@bloop:~$ ./a.out
08:43 PM MoonyMoon: usage: pop [OPTION] [FILE]
08:43 PM MoonyMoon: moon@bloop:~$ ./a.out -w
08:43 PM MoonyMoon: moon@bloop:~$ ./a.out -j
08:43 PM MoonyMoon: usage: ./a.out [OPTION] [FILE]
08:43 PM MoonyMoon: moon@bloop:~$
08:43 PM MoonyMoon: :)
09:24 PM Tom_L: won't be able to work on much this week
09:26 PM rue_mohr: lots of busy?
10:17 PM Tom_L: she thinks she needs carpet so my office will be all tore up
10:20 PM Tom_L: i'm gonna hold out tearing the desk & pc's til the last min
10:22 PM rue_mohr: oh dear
10:22 PM Tom_L: ripped up the lamanent floor in the living room this evening in about 10 min
10:22 PM rue_mohr: well, the next step with the fpga is for you :) to walk me thru a project
10:22 PM Tom_L: ready?
10:23 PM Tom_L: do you have a jtag?
10:23 PM rue_mohr: I looked around the app, I didn't understand much about where it all is and how its tied togethor
10:23 PM rue_mohr: no just the app
10:23 PM Tom_L: ok
10:23 PM rue_mohr: no hardware
10:23 PM Tom_L: start a new project and give it a working directory
10:23 PM rue_mohr: there is some in a bin and some on order
10:23 PM rue_mohr: eeek, I'm deep in an i2c slave FSM just now
10:23 PM Tom_L: ok
10:24 PM Tom_L: nevermind
10:24 PM rue_mohr: :/
10:24 PM Tom_L: i'll be using windows until i can fix that stupid driver
10:24 PM rue_mohr: well, heh first step to help me out with
10:24 PM rue_mohr: is that I cant figure out how to close th example I opened :)
10:24 PM Tom_L: linux?
10:24 PM rue_mohr: yea
10:24 PM Tom_L: i'll boot the linux ver.. hang on
10:25 PM Tom_L: pull those files of mine for an example
10:25 PM rue_mohr: hold on, let me put down what I'v working on here
10:25 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/
10:25 PM Tom_L: grab all the .v files
10:26 PM Tom_L: some things i can't remember either
10:26 PM Tom_L: i'll have to figure them out again
10:26 PM Tom_L: like the schematic view when you map pins
10:27 PM rue_shop2: wow it must be -2c out there
10:28 PM Tom_L: it's like 40+ F here
10:28 PM rue_shop2: ok I have to start it up...
10:28 PM Tom_dev: terminal: sudo su
10:28 PM rue_shop2: root@blackie3:/opt/14.7/ISE_DS
10:28 PM Tom_dev: cd /opt/Xilinx/14.7/ISE_DS
10:28 PM rue_shop2: I wonder if they missed a dir on install
10:28 PM rue_shop2: think I should correct that?
10:29 PM Tom_dev: where's it at?
10:29 PM rue_shop2: root@blackie3:/opt/14.7/ISE_DS
10:29 PM Tom_dev: i would fix it so we're the same
10:29 PM rue_shop2: its missing the Xilinx
10:29 PM Tom_dev: add the dir cap "X" and move the files to it
10:29 PM Tom_dev: starting at the 14.7 dir
10:30 PM Tom_dev: it should have put them there
10:30 PM rue_shop2: moved, starting ise
10:30 PM Tom_dev: did the settings64 thing?
10:31 PM rue_shop2: no
10:31 PM rue_shop2: every time?
10:31 PM Tom_dev: every time
10:31 PM Tom_dev: here's the steps:
10:31 PM Tom_dev: open terminal and 'sudo su'
10:31 PM Tom_dev: run as root
10:31 PM Tom_dev: cd /opt/Xilinx/14.7/ISE_DS
10:31 PM Tom_dev: source settings64.sh
10:31 PM Tom_dev: ise
10:32 PM rue_shop2: root@blackie3:/opt/Xilinx/14.7/ISE_DS/ISE/bin/lin64# ./ise &
10:32 PM rue_shop2: its not in my paths so I have to do that
10:33 PM rue_shop2: ok, so, all that aside
10:33 PM rue_shop2: it remmeber that example I opened, how do I close it?
10:33 PM rue_shop2: maybe ...
10:33 PM Tom_dev: File -> Close
10:33 PM rue_shop2: I'm gonna break this...
10:33 PM rue_shop2: oh, i swear close project hadn't been there bfore
10:33 PM rue_shop2: ok
10:33 PM rue_shop2: ready
10:33 PM rue_shop2: er need to download
10:34 PM rue_shop2: which ones?
10:34 PM Tom_dev: i put those in /home/tom/xilinx/
10:34 PM rue_shop2: what shall we start with tho
10:34 PM rue_shop2: as a test
10:34 PM rue_shop2: cause I think I have a badly broken install
10:34 PM Tom_L: http://tom-itx.no-ip.biz:81/~webpage/temp/xilinx/
10:34 PM rue_shop2: all of them?
10:35 PM Tom_L: put those in /home/user/xilinx/XC9500/test
10:35 PM Tom_L: just the .v files
10:35 PM Tom_L: we're only using 2 of them though
10:36 PM rue_shop2: ah, which two?
10:36 PM Tom_dev: ok ready?
10:36 PM rue_shop2: ah, which two?
10:36 PM Tom_dev: file -> new project
10:36 PM Tom_dev: we'll get there
10:36 PM rue_shop2: need to know which two files!
10:36 PM Tom_dev: get them all
10:36 PM rue_shop2: minute...
10:36 PM Tom_dev: you can study them
10:38 PM Tom_dev: put those in /home/user/xilinx/XC9500/test
10:38 PM rue_shop2: ok 10 files
10:38 PM rue_shop2: how about /files/programming/fpga/xilinx/XC9500/test
10:38 PM Tom_dev: you didn't need the pics
10:38 PM Tom_dev: but ok
10:38 PM Tom_dev: in ise
10:38 PM rue_shop2: yes
10:38 PM Tom_dev: file -> new project
10:39 PM Tom_dev: name: test
10:39 PM rue_shop2: k,
10:39 PM Tom_dev: directory, ^^^ the one you picked
10:39 PM Tom_dev: say when
10:40 PM rue_shop2: top level source
10:40 PM rue_shop2: hdl?
10:40 PM Tom_dev: just use the defaults yes
10:40 PM rue_shop2: ok hit next
10:40 PM rue_shop2: defaults?
10:40 PM Tom_dev: device:
10:40 PM Tom_dev: err
10:40 PM Tom_dev: family first
10:40 PM rue_shop2: ok...
10:41 PM Tom_dev: pick xc8599 cpld
10:41 PM Tom_dev: wait
10:41 PM rue_shop2: oop spartin 3, I have one of those
10:41 PM Tom_dev: xc9500 cpld
10:41 PM Tom_dev: then pick as the device xc95108
10:41 PM rue_shop2: ok
10:41 PM Tom_dev: rest are defaults
10:41 PM rue_shop2: ok
10:41 PM Tom_dev: next
10:41 PM Tom_dev: finish
10:42 PM rue_shop2: ok
10:42 PM Tom_dev: left pane
10:42 PM Tom_dev: bottom
10:42 PM rue_shop2: ok
10:42 PM Tom_dev: arrow over to the right to libraries
10:42 PM Tom_dev: top of that column right click on work
10:42 PM Tom_dev: 'add source'
10:42 PM rue_shop2: ok
10:43 PM Tom_dev: go to your folder
10:43 PM rue_shop2: y
10:43 PM Tom_dev: ctrl and pick 2 files
10:43 PM rue_shop2: !bookmark fpga walkthru
10:43 PM Tom_dev: top.v and clkdiv.v
10:43 PM Tom_dev: click open
10:43 PM rue_shop2: y
10:43 PM Tom_dev: both check off as green
10:43 PM rue_shop2: 0 errors
10:43 PM Tom_dev: click ok
10:44 PM rue_shop2: heh, I jumped ahead
10:44 PM rue_shop2: done
10:44 PM Tom_dev: tab over to design at the bottom of that pane
10:44 PM rue_shop2: k
10:44 PM Tom_dev: open design utilities
10:44 PM rue_shop2: k
10:44 PM Tom_dev: you can do this in steps
10:44 PM Tom_dev: anywhere along that path
10:45 PM Tom_dev: so
10:45 PM Tom_dev: near the bottom double click on 'generate programming file'
10:45 PM Tom_dev: and it will start building
10:45 PM Tom_dev: synthesize
10:45 PM Tom_dev: translate
10:45 PM Tom_dev: fit
10:46 PM Tom_dev: shouldn't take long
10:46 PM rue_shop2: its chewing
10:46 PM Tom_dev: mine's done
10:46 PM rue_shop2: this is a slow machine
10:46 PM Tom_dev: you _will_ get warnings
10:46 PM rue_shop2: translating...
10:46 PM rue_shop2: I do
10:46 PM Tom_dev: just ignore them
10:46 PM rue_shop2: translate ok
10:46 PM Tom_dev: you will learn what warnings not to ignore
10:47 PM Tom_dev: that's it
10:47 PM rue_shop2: hahah
10:47 PM rue_shop2: fit ok
10:47 PM Tom_dev: your file will be in that directory i think
10:47 PM rue_shop2: generate program ok
10:47 PM rue_shop2: whats the file?
10:47 PM rue_shop2: the dir has lots of files
10:47 PM rue_shop2: now
10:47 PM Tom_dev: i don't remember :)
10:48 PM rue_shop2: top.jed
10:48 PM rue_shop2: but ok
10:48 PM rue_shop2: we need to link it to chip pins dont we?
10:48 PM Tom_dev: well for this i think i did already
10:48 PM Tom_dev: but
10:48 PM Tom_dev: just a sec
10:49 PM Tom_dev: tools -> schematic view
10:49 PM Tom_dev: start explorer wizzard
10:49 PM Tom_dev: you can try them both
10:49 PM rue_shop2: two under schematic view rtl and technology
10:50 PM Tom_dev: play around with both of them
10:50 PM Tom_dev: i forget
10:50 PM Tom_dev: i'm not finding what i'm looking for
10:50 PM Tom_dev: try schematic
10:50 PM Tom_dev: and drag some pins over to the right box
10:50 PM rue_shop2: hmm
10:50 PM Tom_dev: then generate a schematic and you can see the result
10:51 PM rue_shop2: hmm
10:51 PM rue_shop2: I have a block diagram of the fpga code
10:51 PM rue_shop2: in a grey box...
10:52 PM Tom_dev: oh also if you double click on the source files on the left it will open them up on the right
10:52 PM Tom_dev: you can edit them there
10:52 PM rue_shop2: ok
10:52 PM rue_shop2: do the source files specify the package pins?
10:53 PM rue_shop2: on this right now?
10:53 PM Tom_dev: i think it can
10:53 PM Tom_dev: i don't remember if i did on this one or not
10:53 PM Tom_dev: it's been ages
10:54 PM rue_shop2: heh
10:54 PM rue_shop2: ok, lets try this angle if you have time
10:54 PM rue_shop2: there is a simulate thing right?
10:54 PM Tom_dev: i think so
10:56 PM rue_shop2: ok, well, it DID compile it without flipping its lid
10:56 PM Tom_dev: what i'm looking for could have been in a previous version
10:57 PM rue_shop2: I syspect if I had an fpga to program I might be able to do it
10:57 PM Tom_dev: pretty sure
10:57 PM Tom_dev: tools -> impact
10:57 PM Tom_dev: is the downloader
10:57 PM rue_shop2: I didn't know if it was going to barf about a liscence
10:57 PM Tom_dev: no
10:57 PM rue_shop2: No iMPACT project file exists. Click OK to open iMPACT.
10:58 PM Tom_dev: i downloaded the full package but it only enabled the webpack stuff
10:58 PM Tom_dev: you need a jtag connected to a device for that
10:58 PM Tom_dev: then it will tell you what it's connected to
10:59 PM Tom_dev: a device icon will appear in the window
10:59 PM rue_shop2: so yesterday I noticed
10:59 PM rue_shop2: I have
11:00 PM rue_shop2: XC4003, 4004 and 4005
11:00 PM rue_shop2: I"m gonna close project for a bit here
11:00 PM Tom_dev: wait
11:00 PM Tom_dev: couple more things
11:01 PM rue_shop2: oops :)
11:01 PM Tom_dev: on the left pane it matters what file is highlited
11:01 PM rue_shop2: you look stuff up, I'll mess up and get back in a min
11:01 PM Tom_dev: you will notice below it the options change depending on the file selected
11:02 PM rue_shop2: awe, xc4000 is too old
11:02 PM rue_shop2: ok ,project re-opened
11:03 PM rue_shop2: ok
11:03 PM Tom_dev: you generally want the 'top' project highlited
11:03 PM Tom_dev: just below the device name in the left pane top
11:04 PM Tom_dev: open Opetional implementation tools at the bottom and you will see a 'genereate post fit simulation' there
11:05 PM Tom_dev: still, somewhere you add a schematic file to the project and i haven't found it yet
11:07 PM Tom_dev: i'm trying to find the 'schematic editor'
11:10 PM rue_shop2: hmm
11:10 PM rue_shop2: Process "Generate Post-Fit Simulation Model" completed successfully
11:11 PM Tom_dev: that's why i gave you a working project
11:11 PM Tom_dev: so you can learn about the steps
11:11 PM rue_shop2: k
11:11 PM Tom_dev: then you can break it all you want
11:11 PM rue_shop2: yup
11:12 PM rue_shop2: too bad it doesn't support the xc4000, their big ones I could probably wire up
11:12 PM Tom_dev: ok, file -> new -> schematic
11:13 PM rue_shop2: ah ok
11:13 PM Tom_dev: i think you add that to your project then assign the signals to the pins
11:13 PM Tom_dev: there's more than one way to do this
11:14 PM rue_shop2: so,
11:15 PM Tom_dev: i'll have to figure the schematic out again. i've done it bur forgot
11:15 PM rue_shop2: I dont see anything in the code saying where things come out on the chip
11:15 PM Tom_dev: yeah i agree
11:15 PM rue_shop2: :) ok
11:16 PM Tom_dev: that's why i haven't tried flashing the board yet
11:17 PM rue_shop2: well, there is the logic block thing in the other window
11:18 PM rue_shop2: its like we want that to come up in the schematic tool
11:18 PM rue_shop2: "update schematic files" says "no schematics in project"
11:21 PM rue_shop2: I drew a line and saved the schematic...
11:21 PM Tom_dev: i clicked on something and drew a whole schematic :D
11:21 PM rue_shop2: hahaha
11:22 PM rue_shop2: high level programming man!
11:22 PM rue_shop2: ok, do you add an instance of the code to the schematic?
11:23 PM Tom_dev: i don't remember
11:23 PM Tom_dev: oh probably so yes
11:23 PM rue_shop2: cause "top" is a logic module
11:23 PM rue_shop2: you should be able to do a bunch of them on a chip
11:24 PM rue_shop2: I cant seem to add an instance of it...
11:24 PM rue_shop2: top
11:24 PM Tom_dev: in 'create RTL schematic
11:24 PM rue_shop2: where!?
11:24 PM Tom_dev: highlight the one you want and ADD->
11:24 PM rue_shop2: wait wtf
11:24 PM rue_shop2: suddenly I'm ...
11:25 PM rue_shop2: where is create rtl schematic
11:26 PM Tom_dev: tools -> schematic viewer -> rtl
11:26 PM Tom_dev: start with the e3xplorer wizzard
11:26 PM rue_shop2: add top
11:26 PM rue_shop2: create schematic..
11:27 PM rue_shop2: ok
11:27 PM rue_shop2: but
11:27 PM Tom_dev: yeah but i don't know how to connect those to the device pins
11:27 PM rue_shop2: yea
11:27 PM Tom_dev: that's the part i can't seem to find yet
11:27 PM rue_shop2: "create block pin annotation"?
11:27 PM Tom_dev: where?
11:28 PM rue_shop2: hold on, I got one better
11:28 PM rue_shop2: right click on the block
11:28 PM rue_shop2: "new schematic with selected objects"?
11:28 PM Tom_dev: i don't know where you're at
11:28 PM rue_shop2: the symbol on there
11:28 PM rue_shop2: thats top
11:28 PM rue_shop2: right click it
11:29 PM rue_shop2: get a popup menu
11:29 PM rue_shop2: ok it didn't do anything...
11:33 PM Tom_dev: well to give you an idea how old this is, they've renamed the project file extension twice since i wrote this
11:33 PM Tom_dev: so i'm sure they do it a bit different now than they did
11:35 PM rue_mohr: k
11:36 PM rue_mohr: when that board gets here, it seems a simple start is to take two button pins and do an AND gate to the led pin
11:36 PM Tom_dev: yeah
11:37 PM rue_mohr: slow boat from china, lots of time
11:37 PM Tom_dev: open test.v and look at it
11:37 PM Tom_dev: simple button
11:38 PM rue_mohr: even simpler
11:38 PM rue_mohr: :)
11:39 PM Tom_dev: look at the bottom of the top.v source file too
11:39 PM Tom_dev: pin locations defined
11:39 PM Tom_dev: it's commented out right now but that may have been it
11:39 PM rue_mohr: ok
11:43 PM rue_mohr: ok, I have 5 events: i2c_start, i2c_stop, recieve_1, recieve_0, and clock_transmitter
11:43 PM rue_mohr: whats my next level
11:45 PM rue_mohr: so I bought a new video card
11:45 PM rue_mohr: 3 head, I'll see how it works out
11:45 PM rue_mohr: the adapters will take longer to arrive than the card
11:48 PM Tom_dev: https://www.xilinx.com/support/documentation/sw_manuals/xilinx11/sse_c_overview.htm
11:48 PM Tom_dev: https://www.xilinx.com/support/documentation/sw_manuals/xilinx11/sse_c_iomarkers.htm
11:49 PM rue_mohr: right
11:49 PM rue_mohr: so we make a symbol first
11:49 PM rue_mohr: then use it in the schematic
11:49 PM Tom_dev: https://www.xilinx.com/support/documentation/sw_manuals/xilinx11/sse_c_symbols.htm
11:50 PM Tom_dev: the device should already have one
11:50 PM Tom_dev: i just gotta find it
11:50 PM rue_mohr: it came up on that last thing you did
11:50 PM rue_mohr: but its not been added to the symbol list in the schematic editor
11:50 PM Tom_dev: i must have missed it
11:51 PM Tom_dev: gonna go sleep
11:51 PM rue_mohr: gnigt