#avr | Logs for 2013-04-06

Back
[01:10:34] <rue_bed> cluelessperson,
[01:10:38] <rue_bed> what you have here...
[01:11:02] <rue_bed> decompiled assembler eh?
[01:11:29] <rue_bed> just translate it to arduino code
[01:12:55] <rue_bed> you know that arduino cant do 'timing critical' code tho?
[01:14:28] <cluelessperson> rue_bed, I took this from a working library
[01:14:54] <rue_bed> yea, arduino code is too slow
[01:15:13] <cluelessperson> rue_bed, exactly, thus assembly
[01:15:52] <rue_bed> arduino is not assembly
[01:16:04] <cluelessperson> that's inline assembly code.
[01:16:04] <rue_bed> if you want asembly, you dont want arduino
[01:16:09] <rue_bed> no
[01:16:18] <rue_bed> thats decompiled assembler code
[01:17:42] <cluelessperson> rue_bed, hu?
[01:17:43] <cluelessperson> huh?
[01:17:53] <cluelessperson> rue_bed, I thought they were assembly instruction you could run
[01:18:44] <cluelessperson> rue_bed, http://bleaklow.com/2012/12/02/driving_the_ws2811_at_800khz_with_a_16mhz_avr.html
[01:19:59] <Valen> So i'm having a wild idea
[01:20:14] <Valen> I want to measure a high side battery voltage with a minimum of components
[01:20:30] <Valen> (IE i have 2 battery cells and i want to measure the voltage of the upper cell)
[01:21:06] <Valen> thus, my idea was to put something like a teensy 8 on the high cell, and then couple it via a capacitor to the main mcu on the lower cell
[01:21:33] <Valen> my theory being, both mcus' do a weak pullup onto the cap
[01:21:50] <Valen> to send a "pulse" of data, pull the output low
[01:22:14] <Valen> yanking one side of the cap down 5V should cause a -ve spike going into the other mcu
[01:22:22] <Valen> comments?
[01:23:47] <cluelessperson> rue_bed, ... so? that's supposed to be working code from the ws2811 library on that link...
[01:45:49] <rue_bed> ok
[01:45:59] <rue_bed> Valen, voltage divider
[01:46:12] <rue_bed> divide them both down
[01:46:18] <rue_bed> by different amounts
[01:46:39] <Valen> rue_bed: battery powered, I want minimal current draw, and if I use a fet thats 3 components at least ;->
[01:48:30] <rue_bed> use a .5mA voltage divider
[01:49:58] <rue_bed> I'v had mine on a 48V 7Ah battery array for weeks, I should see how its doing
[01:51:54] <Valen> I will probably have 200ma in total to play with
[01:52:07] <Valen> and I'd like weeks of standby time
[02:17:33] <langoliers> hey
[02:17:41] <langoliers> is this cool thingy for $1? :) ATTINY13A-SU
[06:26:13] <qubyte> hi
[06:30:44] <OndraSter> hihi
[07:47:58] <qubyte> in the datasheet of atmega8 they write "When the AS2 bit in the ASSR Register is written to logic one, the clock source is taken from the Timer/Counter Oscillator connected to TOSC1 and TOSC2." is it default 0? if it is 1, does it use the timer internal clock crystal?
[07:48:39] <Horologium> it is default 0
[07:48:55] <Horologium> being set to 0 the clock source is the internal RC oscillator
[07:49:00] <Horologium> not an internal crystal.
[07:49:30] <Horologium> which is pretty good but not as stable as an external crystal.
[07:49:42] <qubyte> I'm new to this. Did i read it correct, that the timer never uses the internal quarz/clock but it has its own one clocking at 32khz?
[07:49:44] <Horologium> also not as fast...it runs at about 8MHz...
[07:50:10] <Horologium> also by default the clock divider is on making the chip run at 1MHz.
[07:51:08] <OndraSter> it is all in the datasheet
[07:51:08] <OndraSter> the default value
[07:51:46] <Horologium> there is no internal quartz crystal on an AVR...none....it is an RC...resistor/capacitor...oscillator.
[07:52:00] <Horologium> for 32KHz input you add a crystal.
[07:52:34] <Horologium> that is usually done on a couple of the gpio pins that can be connected to one of the internal counters...but I think it can also be used as a clock source.
[07:55:58] <qubyte> Thanks, what exactly do they mean with "Allows Clocking from External 32kHz Watch Crystal Independent of the I/O Clock" Do they mean the normal external quarz you can use for your avr?
[07:56:20] <Horologium> you can apparently put a 32khz crystal on the main crystal inputs.
[07:56:45] <OndraSter> yes
[07:56:45] <OndraSter> that means that you can use the 32kHz xtal on the TOSC pins even if your main clock is in sleep mode
[07:56:46] <OndraSter> aka when the core is in the sleep mode
[07:57:12] <OndraSter> that too
[07:57:44] <Horologium> qubyte, what section did you get that from?
[07:57:53] <Horologium> the clocks section or the timer/counter section?
[07:59:22] <qubyte> but if my IC uses an external 20mhz or whatever clock source, I can't run the timer with an external but I have to use the prescaler? Horologium 8bit clock pwm section, big bold letters at the beggining
[07:59:42] <qubyte> Horologium: 8-bit Timer/Counter2 with PWM and Asynchronous Operation
[08:00:16] <Horologium> ok...looking at that...I think that is what I was talking about, using different pins for the 32khz clock.
[08:00:18] <RikusW> qubyte: the IO clock filters input pins but probably not those for 32k
[08:01:27] <Horologium> but not sure the atmega8 can do that...
[08:01:48] <Horologium> I don't use the atmega8 much so haven't looked at that.
[08:02:39] <qubyte> hmm, so can or can't I use 2 external clock sources, one for the core/ic itself and one for my timer? as I said, I'm an newbie so i might mix something up here.
[08:04:43] <qubyte> hjmm seems i can't. So If i use an 32kHz external source for my timer, my core is also running on this speed?
[08:06:21] <Horologium> seems not on the atmega8, no.
[08:06:45] <Malinuss> qubyte, no you can't
[08:07:11] <qubyte> ok thanks to all
[08:08:23] <RikusW> qubyte: you can on T0 and T1
[08:08:35] <RikusW> but you'll have to supply a clock signal not a crystal
[08:09:36] <Malinuss> RikusW, I think that is just confusing him more... qubyte normally you can always get what you want, simply by scaling the clock of the timer
[08:11:08] <RikusW> what does he want ?
[08:11:32] <qubyte> Thanks, I'm goign to read the whole timer chapter in the datasheet now, should make it more clear :)
[08:11:58] <Malinuss> idk. but sure he don't want to run peripherals with different clock sources ;D
[08:12:31] <qubyte> RikusW I just wondered about how timers and clocksources work together. But neermind.
[08:13:54] <RikusW> it uses the prescaler
[08:43:30] <cluelessperson> Can anyone look at this and telle me if my syntax looks right?
[08:43:30] <cluelessperson> http://paste.ubuntu.com/5681895/
[08:43:37] <cluelessperson> I took it from a library.
[09:18:29] <cluelessperson> :/
[09:45:11] <amee2k> hrm
[09:45:52] <amee2k> on a 555, is it acceptable if the discharge pin voltage exceeds the supply rail voltage (but not the maximum supply voltage rating) ?
[09:46:19] <amee2k> i.e., if i power it off a 5V rail, can i use the discharge pin as open collector output for a 12V load
[09:47:28] <[z_z]> abcminiuser, hi.
[09:51:10] <RikusW> amee2k: I'd check to see if there is protection diodes first
[09:53:21] <amee2k> diode tester from Discharge to Vcc shows open circuit
[09:53:32] <amee2k> at least on my ST made one
[09:54:27] <amee2k> the internal schematic in the datasheet shows that the pin goes straight into a collector, but i can't find a rating for maximum Discharge pin voltage
[09:58:04] <RikusW> should be safe
[09:58:13] <RikusW> check the Vce of the transistor
[10:00:28] <rue_bed> it has a rading check dataszheet
[10:01:57] <amee2k> i can only see maximum discharge pin current, disch saturation voltage, and disch leakage current
[10:03:01] <amee2k> as well as maximum supply voltage, and "maximum input voltage for threshold trigger, reset and control voltage pins"
[10:03:59] <amee2k> rue_bed: which datasheet are you using?
[10:19:09] <rue_bed> use the 555 datasheet to find out what the breakdown voltage is of the discharge transistor
[10:19:29] <rue_bed> huh
[10:19:36] <rue_bed> maybe they forgot
[10:19:54] <rue_bed> I have an old linear book, I think, I'll see if it has more
[10:21:21] <amee2k> my reasoning was the maximum acceptable discharge pin voltage has to be at least the same as the other input pins, and these are specified as Vcc, and maximum Vcc is specified as 15V
[10:21:30] <Tom_itx> cluelessperson, less than half the ppl in here do asm
[10:21:43] <Tom_itx> if that many
[10:21:54] <cluelessperson> Tom_itx, I'm completely stuck here
[10:22:08] <cluelessperson> I found a blink sketch using inline assembly, and that works.
[10:22:25] <cluelessperson> I've been using that to look over the syntax in my stolen assembly from the library, but I can't get it to work.
[10:22:26] <Tom_itx> blink sketch?
[10:22:36] <Tom_itx> that sounds suspiciously like ardweenie
[10:23:35] <cluelessperson> Tom_itx, Arduino IDE files are called sketches. I found one that blinks the LED on pin 13, using assembly
[10:23:45] <Tom_itx> http://tom-itx.dyndns.org:81/~webpage/avr/tiny10/t10blink.asm
[10:23:49] <Tom_itx> there's an asm blinky
[10:24:14] <cluelessperson> Tom_itx, yes, well, I took said code and compared it to my more complicated stolen library code, and it looks alright.
[10:24:32] <cluelessperson> Tom_itx, and that's actually quite nice btw.
[10:25:02] <Tom_itx> you won't find too many ppl here fond of ardweenie
[10:25:35] <cluelessperson> Tom_itx, Yeah, I figured. I'm trying to transition to C and AVR using atmel studio, but until I get your programmer...
[10:25:45] <cluelessperson> Tom_itx, and for now, I'm still trying to get this to wo rk.
[10:25:45] <cluelessperson> http://paste.ubuntu.com/5683122/
[10:25:55] <Tom_itx> get winavr and use a txt editor
[10:26:29] <Tom_itx> heading to the post in 30 min or so
[10:26:40] <amee2k> whats wrong with the ardweenie?
[10:27:05] <Tom_itx> nothing. it's a wonderful development environment / lib set
[10:27:15] <Tom_itx> </sarchasm>
[10:27:16] <cluelessperson> Tom_itx, I'd rather wait until I have a programmer to deliver code to my avr. :P
[10:27:34] <Tom_itx> amee2k for one thing they hide too much
[10:27:41] <Tom_itx> including the output .hex file
[10:28:55] <amee2k> sooo. its because it is advertised as arduino compatible, eh? :>
[10:29:48] <cluelessperson> I just realized.
[10:29:51] <cluelessperson> I'm a fucking moron
[10:30:10] <cluelessperson> that library I'm using the assembly from is for an ATMEGA32U2
[10:30:16] <cluelessperson> not ATMEGA328P that I'm using.
[10:30:17] <Tom_itx> woops
[10:30:18] <cluelessperson> fuck.
[10:30:27] <Tom_itx> alot of the regs are the same though
[10:30:46] <cluelessperson> Tom_itx, I don't know anything about how that works.
[10:30:51] <cluelessperson> Tom_itx, but they ARE 16mhz.
[10:31:20] <cluelessperson> I think it's still workable on the 328. I'll see if I can "translate" this assembly so I can understand it
[10:31:22] <[z_z]> Tom_itx, in that blinky example r16 and r17 get initialized to 0?
[10:31:32] <cluelessperson> figure out the timings, and redo it for 328P I'm using
[10:32:41] <Tom_itx> there shouldn't be much translation on a blink program
[10:33:13] <cluelessperson> Tom_itx, no no, the blink program works, but that's just to test that the IDE is capble of using assembly code to the arduino
[10:33:26] <Tom_itx> i can probably take that asm of mine and change the device header file and compile it for any number of chips
[10:33:27] <cluelessperson> Tom_itx, Now I'm trying to get the assembly for driving my RGB led strip working
[10:33:58] <cluelessperson> Tom_itx, it just parses an RGB array and bitbangs it
[10:34:25] <Tom_itx> is this work related?
[10:34:39] <Tom_itx> ( curious )
[10:34:42] <cluelessperson> Tom_itx, like official job? Nah.
[10:35:22] <cluelessperson> Tom_itx, I'm helping a chick in Maryland with her home business. She's learning programming in C, and I'm helping her out with the more complex portions.
[10:35:35] <Tom_itx> really the only ones we don't help here are students trying to get us to do their homework
[10:35:57] <cluelessperson> Tom_itx, and I'm using it as an excuse to learn C myself (not just arduino ide stuff) and get started with AVR and assembly
[10:36:39] <cluelessperson> Tom_itx, I'm pretty much tacklling this on my own. :P
[10:37:14] <cluelessperson> Tom_itx, So, my understanding is that NOP wastes exactly 1 clock cycle.
[10:38:37] <cluelessperson> Tom_itx, yeah, I think I'm just fucked. :{
[10:39:13] <cluelessperson> I would assume "cp %A[len], r1" cops the var(len) into register 1.
[10:39:26] <cluelessperson> "cpc %B[len], r1\n" what the fuck?
[10:40:10] <cluelessperson> " brne 1f\n" test if zero? I think
[10:40:37] <cluelessperson> " rjmp 16f\n" I think this jumps to an address. but I have no freaking clue what 16f means.
[10:40:51] <OndraSter> rjmp = relative jump
[10:40:52] <cluelessperson> yeah, obviously I don't know.
[10:41:10] <cluelessperson> OndraSter, AH, relative, meaning, jumps to an address FROM that spot.
[10:41:13] <OndraSter> yes
[10:41:18] <OndraSter> but 16f?
[10:41:23] <OndraSter> rjmp does only +128 -127
[10:41:45] <cluelessperson> OndraSter, so if this is parsing over RGB data, it's skipping forward to the next?
[10:41:56] <OndraSter> huh:
[10:41:57] <OndraSter> ?
[10:42:34] <cluelessperson> OndraSter, The code overall parses an array of RGB data. I assume rjmp is what's... the term. stepping up the "for loop" so to speak..
[10:42:51] <OndraSter> could be
[10:43:23] <cluelessperson> OndraSter, I would also assume 16f is an address containing something +128 -127
[10:43:35] <OndraSter> 16f is 9 bits :)
[10:43:42] <OndraSter> relative jumps are only 8 bits wide
[10:43:45] <OndraSter> where did you get the rjmp 16f?
[10:44:23] <cluelessperson> OndraSter, my rgb leds require 24 bits of data per led.
[10:44:27] <OndraSter> oh nvm
[10:44:28] <OndraSter> I am idiot
[10:44:31] <OndraSter> it takes 12 bits :)
[10:44:36] <langoliers> hii
[10:44:47] <OndraSter> I must have confused it with something else
[10:44:48] <OndraSter> hi langoliers
[10:44:51] <langoliers> how does the leds on the hid keyboard work?
[10:44:56] <cluelessperson> OndraSter, I would guess it pushes one color, skips to the next 2 bytes.
[10:45:00] <langoliers> i mean that is an input
[10:45:06] <langoliers> from PC to keyboard
[10:45:06] <OndraSter> well without full diassembly I would be really guessing.
[10:45:13] <OndraSter> langoliers, ?
[10:45:24] <cluelessperson> langoliers, probably PWM. Which LEDs?
[10:45:24] <langoliers> you know, umlock capslock, scrolllock
[10:45:24] <OndraSter> PC sends "numlock on"
[10:45:27] <langoliers> num
[10:45:29] <cluelessperson> langoliers, oh.
[10:45:38] <OndraSter> what are you trying to do?
[10:45:49] <cluelessperson> langoliers, I would assume there's a register/chip in there that turns them on. there's no input back to the keyboard generally?
[10:46:02] <langoliers> make a few buttons, and switch a lamp using a microcontroller, conencted to usb, as a keyboard
[10:46:10] <OndraSter> eh
[10:46:12] <OndraSter> good luck
[10:46:13] <langoliers> i have a whole week to do this
[10:46:16] <OndraSter> LUFA supports USB keyboard HID
[10:46:18] <OndraSter> doesn't it
[10:46:32] <cluelessperson> langoliers, After all, the keycodes are different for caps on/off. that chip would choose to transmit A, instead of a, if caps lock is on.
[10:46:46] <langoliers> that does not matter
[10:46:57] <OndraSter> PC sets all the caps/num/scroll lock
[10:47:03] <langoliers> i just need to turn lamp on off with the led signals
[10:47:11] <OndraSter> langoliers, get LUFA
[10:47:17] <langoliers> okey
[10:47:18] <OndraSter> I am sure there will be USB HID keyboard
[10:47:22] <OndraSter> implemented
[10:47:25] <langoliers> thanks
[10:47:26] <OndraSter> right abcminiuser
[10:47:27] <langoliers> searching for it
[10:48:10] <langoliers> i have atmega168 btw
[10:48:26] <cluelessperson> langoliers, Arduino has a USB host shield. :P
[10:48:28] <langoliers> so it will be vusb
[10:48:48] <OndraSter> oh
[10:48:48] <OndraSter> vusb... good luck
[10:48:48] <OndraSter> LUFA does not support vusb
[10:48:54] <OndraSter> LUFA supports only atmega*u* and xmega *u
[10:49:02] <OndraSter> and possibly avr32? don't remember
[10:49:40] <cluelessperson> Tom_itx, So, what do you suggest to get this converted for the atmega328P ?
[10:49:46] <langoliers> is it that hard to push a few buttons, and receive the led turn on off signals?
[10:55:53] <cluelessperson> langoliers, I'd assume it requires at least requires "decoding" the usb data..
[10:56:06] <langoliers> vusb decodes it.
[10:56:16] <langoliers> it is just putting it together i think
[10:56:47] <cluelessperson> I don't know my way around USB
[11:09:04] <OndraSter> langoliers, well
[11:09:04] <OndraSter> if you want a simple solution...
[11:09:04] <OndraSter> get a USB keyboard
[11:09:05] <OndraSter> take it apart
[11:09:05] <OndraSter> grab the small PCB
[11:09:06] <OndraSter> and wire up relay/FET instead of the LED :)
[11:09:23] <langoliers> no
[11:09:24] <langoliers> :)
[11:09:46] <langoliers> this will be industrial control board
[11:10:40] <langoliers> crap not allowed
[11:12:36] <OndraSter> then forget about V-USB
[11:12:36] <OndraSter> and get a chip with proper USB
[11:12:36] <OndraSter> :P
[11:12:59] <langoliers> what is the problem wit hthat M
[11:13:00] <langoliers> ?
[11:13:26] <langoliers> well i already have a few the atmega 168
[11:14:27] <OndraSter> langoliers> crap not allowed
[11:14:27] <OndraSter> V-USB is...w ell
[11:14:27] <OndraSter> well
[11:14:28] <OndraSter> it won't pass USB certification I think
[11:14:38] <langoliers> who cares if it works
[11:14:47] <langoliers> wdt on maybe
[11:15:48] <OndraSter> you said something about industrial :P
[11:15:51] <langoliers> i'm not sure your $4 keyboard is any better than vusb :)
[11:16:51] <langoliers> well nobody dies if the lamp turns off 500ms later for example
[11:18:16] <langoliers> i was thinking of usb instead of the ps2 because i think it might be simpler than implemewnting the keyboard protocol in asm for ps2
[11:18:23] <OndraSter> <langoliers> i'm not sure your $4 keyboard is any better than vusb :)
[11:18:23] <OndraSter> it is
[11:19:44] <OndraSter> wait
[11:19:44] <OndraSter> you think that USB is easier than PS/2?
[11:19:44] <OndraSter> and why asm?
[11:20:20] <langoliers> because bash script requires linux
[11:20:22] <langoliers> :(
[11:20:35] <rue_house> not sure on that
[11:20:43] <rue_house> you could prolly compile bash for windows
[11:20:55] <langoliers> and install win on atmega168 ?
[11:20:57] <rue_house> under windows you can use cygwin, dosn't need linux
[11:21:19] <rue_house> hmm, windows has a time fitting in 8G of ram, you might have a point
[11:21:30] <langoliers> ;/ snap
[11:21:48] <rue_house> who mentioned bash anyhow?
[11:21:48] <langoliers> i can't connect an ssd and a ddr3 ram to my atmel right ?
[11:22:02] <OndraSter> I am not following
[11:22:02] <OndraSter> linux?
[11:22:02] <OndraSter> bash?
[11:22:02] <OndraSter> how does it relate to USB and/or asm?
[11:22:07] <rue_house> prolly can, dont recommend if
[11:22:56] <langoliers> nahm so i don't see why vusb sux
[11:23:03] <OndraSter> lolwut
[11:23:03] <OndraSter> you want to run
[11:23:03] <OndraSter> linux
[11:23:04] <OndraSter> on your avr8?
[11:23:05] <OndraSter> well
[11:23:09] <langoliers> because it does not have ecc ?
[11:23:44] <rue_house> ok wait, I think someone is trying to hook a camera up to their avr, and dosn't think it can be done because an avr cant run windows
[11:23:53] <rue_house> a usb camera
[11:24:04] <langoliers> :)
[11:24:25] <langoliers> that'd be awe
[11:24:46] <langoliers> hooking up the camera and a printer, and speakers and tft to avr
[11:25:06] <OndraSter> lies! You can write x86 emulator for AVR!
[11:25:06] <OndraSter> with whoppin' 10kHz emulation speed!
[11:25:06] <OndraSter> tft? easy
[11:25:07] <OndraSter> speakers? easy
[11:25:08] <langoliers> so i don't need a pc
[11:25:20] <rue_house> just another person who dosn't understand the difference between a microcontroller and a computer
[11:25:50] <langoliers> who?
[11:25:57] <rue_house> let me scroll back
[11:26:19] <rue_house> I think its you
[11:26:23] <langoliers> :P
[11:27:54] <rue_house> I made firmware to execute BF code from the eeprom, anyone wanna play with that?
[11:28:55] <rue_house> haha silence!
[11:29:10] <langoliers> enjoy the silence
[11:30:08] <OndraSter> :P BF
[11:30:08] <OndraSter> write avr virtual machine in BF
[11:30:08] <OndraSter> so you can run the avr virtual machine in BF on an AVR!
[11:33:38] <vectory> bf interpreter is most simple, init?
[11:34:05] <vectory> just, do you alloc a fixed size array or is ist more dynamic than that
[11:34:25] <vectory> rue_house: ^
[11:35:50] <rue_house> its not hard
[11:35:53] <rue_house> it was fun to do
[11:36:42] <rue_house> http://eds.dyndns.org/~ircjunk/tutorials/prog/avrbf/main.html
[11:36:56] <OndraSter> it is
[11:40:00] <rue_house> I found it scarry to start to be able to put BF code togethor in my head after a few days of working with it
[11:40:23] <specing> hence it is called "brainfuck"
[11:42:28] <vectory> you should look at befunge
[11:43:10] <vectory> kinda similiar but you need to lay out the one-character-commands topologically in 2d space :)
[11:57:06] <langoliers> ok so you say i should make ps2 connection istead ?
[11:57:29] <langoliers> can someone link a ps2 keyboard protocol documentation?
[11:57:58] <Horologium> google.com
[11:58:02] <langoliers> thanks
[11:58:24] <Horologium> http://avrprogrammers.com/example_avr_keyboard.php
[11:58:36] <Horologium> there is an avr interface to a ps/2 keyboard even.
[11:59:20] <langoliers> but... i want to be the keyboard :)
[11:59:31] <Horologium> oh.
[12:00:25] <langoliers> Horologium<< haha, i was reading the same page right now ^^
[12:01:55] <Horologium> http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&t=34901&highlight=keyboard+ps2
[12:04:04] <Horologium> http://www.networktechinc.com/ps2-prots.html
[12:04:22] <Horologium> http://retired.beyondlogic.org/
[12:05:31] <Horologium> http://retired.beyondlogic.org/keyboard/keybrd.htm
[12:05:35] <Horologium> how many more do you want?
[12:06:53] <Horologium> http://www.computer-engineering.org/
[12:10:57] <pshaw> Hi, right now, i am using avr-gcc to comp. my code for the chip, and gcc to compile unittests build on CU (http://cu.danfis.cz/). That method makes the life very hard, because of the many #defines inside my code what should the gcc do not test and include, because it is gcc stuff. HOW DO YOU write tests for your avr code?
[12:11:47] <langoliers> Horologium<= thanks :) i need a few days to interpret that much data
[12:13:02] <langoliers> i think i will put an vusb on the board, and a ps2 connector, and use the ps2 now if it is simpler
[12:13:14] <Horologium> pshaw, I write the code, compile it, put it on the microcontroller, and test the heck out of it in the real world.
[12:13:31] <langoliers> or maybe i can even wire them to the same ports and make some switching
[12:13:37] <Horologium> langoliers, vusb is ok for playing around with...if you are going to use it commercially you will have to pay for it.
[12:13:50] <langoliers> ;/
[12:13:53] <Horologium> also, I have found it not entirely stable across all PC connections.
[12:13:54] <pshaw> Horologium: hm, not realy test driven :-)
[12:14:03] <langoliers> i see
[12:14:24] <Horologium> most specially, USB3.0 ports don't like it.
[12:14:29] <Horologium> at least, mine don't.
[12:14:33] <langoliers> haha i thought so
[12:15:03] <langoliers> did i mention i seriously dislike usb ?
[12:15:09] <Horologium> and some USB2.0 ports don't like it.
[12:15:20] <Horologium> USB rocks, if you bother to learn and understand it.
[12:15:34] <langoliers> i am against stable clock synchronized communications
[12:15:34] <Horologium> vUSB is a hack....a nice hack, but still a hack.
[12:15:54] <Horologium> so you don't like rs232 either?
[12:16:00] <langoliers> noo
[12:16:02] <langoliers> ;<
[12:16:27] <langoliers> if it is relative clocked then is another question.
[12:16:30] <Horologium> rs232 rocks, specially with autobaud routines.
[12:17:02] <langoliers> that sounds fun, and do not require a synchronized clock
[12:17:18] <Horologium> I have a couple of bots that talk to each other via IR and they autobaud their comms....I'm just doing rs232 protocol out IR LEDs..
[12:17:31] <langoliers> :)
[12:17:51] <Horologium> if one wants to talk to the other it starts sending an autobaud sequence then pauses and waits for a response....then repeats at a different bit rate.
[12:18:07] <Horologium> will keep repeating at different bit rates till the other replies.
[12:18:12] <Horologium> then they can chat.
[12:18:15] <langoliers> you can also do dtmf and fm
[12:18:19] <nevdull> have you tried the xmega with built-in irda peripheral?
[12:18:57] <Horologium> xmega requires soldering...or buying a board with it presoldered.
[12:19:03] <Horologium> and I don't solder SMT chips well.
[12:19:06] <Horologium> hands shake too much.
[12:19:42] <nevdull> ah gotcha...i can solder down to about TQFP64 but that about hits my limit.
[12:19:53] <langoliers> more beer ?
[12:19:53] <Horologium> I work with almost exclusively with chips I can stick in a solderless breadboard.
[12:20:05] <Horologium> langoliers, no, need less nerve damage.
[12:20:51] <nevdull> you should check out the ATmega128RF|Z line. a 40PDIP package housing the mega128 and an 2.4GHz 8.15.4 zigbee wireless transceiver.
[12:21:16] <langoliers> Horologium<< do you use solder paste, stencil, vacuum pen ?
[12:21:18] <Horologium> hmm...didn't know that came in dip.
[12:21:29] <Horologium> langoliers, I would if I had them.
[12:21:35] <langoliers> ;/
[12:21:38] <Horologium> or, might.
[12:21:50] <nevdull> horo: they are a little scarce but mouser has them in stock for now. i ordered 10 of them a couple of days ago.
[12:21:53] <langoliers> i have a few hundred grams of extra solder paste
[12:22:35] <Horologium> langoliers, also one must have circuit boards....either make yourself or have made.
[12:22:40] <Horologium> either way it costs money to play.
[12:22:51] <Horologium> with my method I can reuse and play much cheaper.
[12:26:42] <Horologium> some day I'll get an xmega or two on dip adapter boards.
[12:26:48] <Horologium> but just haven't needed that yet\
[12:26:59] <Horologium> as regular mega is fast enough for anything I'm doing so far.
[12:27:21] <Horologium> with the exception of my vga generator project, they are usually waaaay faster than I need.
[12:27:47] <nevdull> yah i understand. i designed and built a low-cost TQFP64 xmega dev board (the project is upon avrfreaks and instructables.com) that i do PDI with my xmegas on when i want to play with expanded peripherals
[12:28:46] <nevdull> tell me about your vga generator project, Horo. i'm interested in that. i've been designing a vga driver/card for a retro computer project and would appreciate any "gotchas" or other clues i could be missing
[12:29:00] <Horologium> I'm kinda going at it strange.
[12:29:12] <Horologium> I'm using some serial sram chips as video memory storage.
[12:29:21] <Horologium> then clocking the data straight out of them to the VGA pins.
[12:29:24] <nevdull> nice..serial? like SPI?
[12:29:31] <Horologium> yes...like spi.
[12:29:56] <Horologium> http://www.microchip.com/wwwproducts/Devices.aspx?dDocName=en559066
[12:29:58] <Horologium> these
[12:30:23] <Horologium> you can set an address then pulse the clock and it just toggles the data out a bit at a time...or 2 bits...or 4 bits...
[12:30:29] <Horologium> up to 20MHz
[12:30:29] <nevdull> cool. i know some chips have an expanded SPI throughput, too. do you use a 2-bit DAC from your framebuffer to your VGA RGP pins or anything?
[12:31:02] <Horologium> right now I'm just doing either 1 bit color...mono all the way....or 4 bit color....RGBI
[12:31:25] <Horologium> for which I do the 2-bit dac thing to get 2 levels of each color depending on the I bit.
[12:31:28] <qubyte> hi
[12:31:52] <nevdull> yes, i saw those chips just the other day when i ordered my SRAM chips im using for my VRAM. those you got are nice, speedy, easy, and cheap.
[12:31:55] <Horologium> have considered doing 4 bits for each color, going either 12bit with RGB or 16 bit for RGBI
[12:32:16] <Horologium> am working on the double and/or triple buffered system right now.
[12:32:53] <Horologium> what I've kinda decided on is a 1-2x buffer system...
[12:33:04] <Horologium> one buffer the AVR can write to
[12:33:10] <Horologium> the other two are display buffers.
[12:33:18] <qubyte> hi
[12:33:40] <Horologium> and once you have your image built in the writeable buffer you tell it to do a copy over to the display buffers.
[12:33:41] <Horologium> hi qubyte
[12:34:08] <nevdull> yah, i considered 4bpp and 6bpp but it increased my framebuffer size required to hold a full frame by something like (x-resolution * y-resolution * bpp)/(8*1048576) or some such
[12:34:08] <Horologium> the system then copies the write buffer to the non-displayed buffer...switches display buffers..
[12:34:30] <Horologium> nevdull, considering I'm using these in interlace mode, I have lots of room...
[12:34:49] <Horologium> I toggle between two chips, alternating chips for each pixel.
[12:34:58] <nevdull> i just use an 8-bit pallet LUT (look up table) to support 256 colors with a subset of them only active on the screen
[12:35:03] <qubyte> I'm about to create an communication with uart, for the first time. http://www.mikrocontroller.net/wikifiles/e/ee/AVR-RS232.png The problem is, I don't have the 1uF ceramic capacitator. Do you think, I can use an 1.8uF?
[12:35:11] <nevdull> ah interlace mode. that's very cool
[12:35:12] <Horologium> giving me 2Mb for each color if I run them in single bit mode.
[12:35:18] <qubyte> The one at IC2P
[12:35:44] <Horologium> qubyte, yes, you can use 1.8uF.
[12:36:08] <qubyte> Horologium: ...it's nF :( I have a 10uF, still works?
[12:36:30] <Horologium> I've used 4.7uF caps on max232 chips.
[12:36:41] <Horologium> 10uF should work...just watch your speed...
[12:36:53] <Horologium> larger caps take longer to recharge, limiting your speed.
[12:37:14] <nevdull> i also found that you really only need 2 of the 100nf capacitors to be polarized or tantalum. the other can be regular ceramic or whatever.
[12:37:35] <Horologium> I generally use max233 chips....eliminates all those caps on the chip.
[12:38:06] <Horologium> chip is more expensive...much more than a max232 plus caps..
[12:38:10] <nevdull> i know one of the max models you can use 100nF instead of the 1uF ones, but i haven't gotten to work with any that reduce the need for caps altogether
[12:38:12] <Horologium> but it eliminates a lot of hassle.
[12:38:17] <langoliers> hah, an atmega is fast enough for a dtmf decoder ? http://www.infidigm.net/projects/dtmf2/
[12:38:25] <Horologium> langoliers, sure it is.
[12:38:53] <Horologium> heck, it could encode and decode dtmf that is above human hearing range even.
[12:39:57] <qubyte> Horologium: Why do they use ceramic capacitator for the IC2P but for the rest they use electrolytic capacitor? Does it really matter that much?
[12:40:25] <Horologium> oh..you were looking at that one cap....
[12:40:30] <Horologium> I missed that.
[12:40:46] <Horologium> yeah, you can use a 1.8uF there just fine...no problem.
[12:40:58] <qubyte> Horologium: yes the one at IC2P
[12:41:01] <Horologium> the others are part of a charge pump to generate 12V for rs232 voltage levels.
[12:41:15] <qubyte> Horologium: ok thanks!
[12:41:16] <Horologium> the one connected to the IC2P is just a bypass cap across VCC and GND...
[12:41:38] <Horologium> goes as close as possible to the VCC and GND pins on the AVR.
[12:41:55] <Horologium> keeps the chip from resetting if you have a large current draw suddenly.
[12:42:01] <qubyte> I know, but since I'm a real newbie prefere asking before doing something stupid. :)
[12:42:01] <nevdull> qubyte: if you have it, you could also use a 100nF ceramic cap as a decoupling capacitor instead of the 1uF. i'vedone that with max232 before without problem and good noise isolation
[12:42:02] <Horologium> think of it as a mini-backup battery.
[12:42:26] <qubyte> nevdull nice thanks
[12:42:36] <Horologium> or across VCC and GND on the max232....guess that's where it is going.
[12:42:49] <Horologium> you want ceramic on that one though just because it responds real fast.
[12:42:56] <Horologium> you also want one on the AVR.
[12:42:57] <nevdull> sure thing...have fun with your project qubyte
[12:43:35] <nevdull> should prolly have one for each vcc pin bypassed to ground as close to the pin as possible with 100nF caps, imo. that's my usual configuration
[12:46:39] <qubyte> okay good to know, thanks nevdull
[12:47:10] <nevdull> anytime qubyte
[12:48:24] <Horologium> nevdull, not seeing that chip you mentioned earlier.
[12:48:37] <Horologium> only one I'm finding is the ATmega1284RZAP which doesn't appear to be available yet.
[12:48:43] <nevdull> Horo: which chip? the one with integrated wireless?
[12:48:46] <Horologium> based on the atmega1284p chip it looks like.
[12:48:50] <nevdull> ah one sec, i have it bookmarked
[12:49:21] <nevdull> http://www.mouser.com/Search/ProductDetail.aspx?R=ATMEGA64RZAV-10PUvirtualkey55650000virtualkey556-ATMEGA64RZAV10PU
[12:50:24] <nevdull> avnet.com has the atmega128RFA1 in stock
[12:50:41] <nevdull> https://avnetexpress.avnet.com/store/em/EMController/_/A-9895549?action=part&catalogId=500201&langId=-1&storeId=500201
[12:50:58] <Horologium> ok..that one is based on the atmega644.
[12:52:38] <nevdull> i take that back re: avnet.com having them in stock. looks like they sold thru their stock since last i checked. they might have it in some other less popular package.
[12:53:46] <Horologium> but the datasheets for those don't include anything about the RF side.
[12:54:00] <Horologium> they are just the datasheets for the 1284p or the 644p chips.
[12:54:03] <nevdull> or you can get the AT86RF23* if you want to add wireless capability to an existing system with an AVR w/o wireless embedded already. they usually run from $3 to $4.50 a chip, but unfortunately i haven't been able to find them in anything but very tiny QFN package footprints
[12:54:15] <nevdull> Horo: I FREAKIN KNOW!
[12:54:31] <nevdull> i have looked for weeks for datasheets that include that info and i cannot find anything
[12:55:23] <nevdull> i haven't the slighteste clue what registers to use, programming idioms and/or guides, etc. i've found some information on the embedded rf transceiver itself in a separate package
[12:56:50] <Horologium> from the web page, it is a separate chip with an SPI interface.
[12:56:58] <Horologium> at least, that's what it implies.
[12:57:08] <Horologium> so, maybe it's tied to the SPI interface internally?
[12:57:08] <nevdull> yeah, it's that AT86RF23* chip i mentioned i believe
[12:57:24] <Horologium> maybe we should ask the ATMEL rep here!
[12:57:28] <Horologium> hey, ATMEL GUY!!!
[12:57:42] <Horologium> I think it is abcminiuser but not sure.
[12:58:07] <abcminiuser> Someone rang?
[12:58:18] <nevdull> did you say that in your "Lurch" voice?
[12:58:21] <langoliers> abcminiuser<= dtmf! :)
[13:00:03] <abcminiuser> Yahoyhoy
[13:00:27] <Horologium> abcminiuser, you know anything about the atmega64RZAV series?
[13:00:48] <abcminiuser> Nei, I've only been playing with the AT8* wireless
[13:00:53] <Horologium> or atmega645rzavp ?
[13:01:20] <Horologium> was wondering if those have the RF chip built in or if it is a stack combo of the 644 chip and a wireless chip.
[13:01:35] <Horologium> or atmega644rzavp ? (corrected number))
[13:02:00] <Horologium> or atmega644rzapv ? (corrected number---proper this time)
[13:02:27] <langoliers> avp is cool
[13:02:52] <Horologium> langoliers, if it is all integrated that would be awesome.
[13:03:04] <langoliers> i meant alien vs. predator :)
[13:03:23] <Horologium> oh.
[13:03:30] <Horologium> it was alright.
[13:04:04] <Horologium> MCU Wireless chipset for IEEE 802.15.4 and ZigBee® applications. It is a bundle of the Atmel AVR ATmega1284P and Atmel AT86RF231 radio in the worldwide 2.4GHz frequency band.
[13:04:14] <Horologium> as I thought..it is a bundle, not just a single chip.
[13:04:55] <Horologium> and the RF chip is not in a dip package.
[13:05:26] <Horologium> vqfn32
[13:05:29] * Horologium shudders.
[13:05:34] <nevdull> horo: you might like the RAZR package from atmel. good bang for the buck and easy to prototype with
[13:06:14] <Horologium> looked at those too.
[13:06:20] <langoliers> bga can be prototyped deadbug style too
[13:06:49] <Horologium> CAN be.
[13:06:52] <langoliers> cat5e cables are a must :)
[13:07:05] <Horologium> but not easily.
[13:07:10] <langoliers> nice, colorful
[13:10:56] <langoliers> anyone tried to overclock the atmega pa version to 24MHz ?
[13:11:18] <Horologium> AVRs are overclockable.
[13:11:44] <langoliers> :) yeah, i was thinking the same, it is only not guaranteed
[13:11:50] <Horologium> back in the days when the atmega32 was the shipt I ran them at 18 and 20 MHz...and they were only rated for 16.
[13:12:05] <langoliers> c00l
[13:12:16] <Horologium> and once ran an atmega1284p at 33MHz by accident.
[13:12:29] <langoliers> :)
[13:12:40] <Horologium> err..32MHz..
[13:12:51] <Horologium> really screwed up my rs232 comms though.
[13:13:02] <langoliers> so i should write an avr stress test code to find max freq
[13:13:02] <Horologium> took me 3 days to figure out I had put the wrong crystal on the board.
[13:13:17] <abcminiuser> Remember to put a big-ass heatsink on it
[13:13:18] <abcminiuser> And a fan
[13:13:23] <langoliers> not all operations might work at all speeds i guess
[13:13:38] <Horologium> I do remember ADC was not stable overclocked.
[13:13:51] <langoliers> what do you m ean by that?
[13:14:02] <Horologium> I mean it wouldn't give me reliable stable readings.
[13:14:36] <langoliers> even if you integrate the results using weighted average function ?
[13:14:46] <Horologium> bah...
[13:14:55] <Horologium> I doubt that would have worked either.
[13:15:06] <Horologium> they were mostly lower than they should have been.
[13:15:13] <Horologium> but they jumped around a lot.
[13:15:31] <Horologium> so, I suppose I could have averaged and taken into account the lowered values and all.
[13:15:35] <Horologium> but I didn't bother..
[13:16:49] <langoliers> abcminiuser<= do you really mean my atmega168pa will heat up that much overclocked ? ;)
[13:16:59] <langoliers> it is micropower
[13:17:06] <abcminiuser> langoliers, it's a joke :P
[13:17:10] <langoliers> ^^
[13:17:11] <abcminiuser> No, it won't heat up at all
[13:17:15] <vsync_> LANGOLIERS!
[13:17:17] <Horologium> running it at lower voltages, it won't run at higher speeds.
[13:17:19] <vsync_> omfg, stephen king
[13:17:29] <langoliers> vsync_<= yeah!
[13:17:32] <abcminiuser> But it's like a requirement when overclocking somethig
[13:17:43] <abcminiuser> MUST. LOOK. COOL.
[13:18:13] <vsync_> it's a really good pickup line
[13:18:13] <Horologium> abcminiuser, I've used p-4 heatsinks for 78xx voltage regulators before.
[13:18:18] <vsync_> hey baby wanna overclock my avrs
[13:18:39] <langoliers> i have used chipset heatsink for ssr :)
[13:18:52] <Horologium> had a power supply I built once with 7812, 7809, 7805, and a variable voltage regulator...they all bolted to the bottom of the p-4 heatsink.
[13:26:38] <Horologium> ok...time to do something fun.
[13:27:03] <Horologium> upgrading this machine from 7200rpm IDE drives to 10KRPM scsi drives!
[13:27:08] <langoliers> i ordered some attiny13 too, it'd be fun to make 433MHz tx, rx thingy with them
[13:45:24] <[z_z]> abcminiuser, I was told you might know a bit about the DFU firmware for avr32s. Do you have some time? I want to build/use the asf example project for the at32uc3c-ek, but am having trouble. The firmwares i build never do anything.
[13:46:54] <abcminiuser> It's been a long time since I played with the AVR32 DFU but sure, shoot
[13:48:58] <qubyte> for UART i should have 12v at my serial interface right?
[13:49:21] <RikusW> using something like MAX232 yes
[13:49:29] <RikusW> AVr UART use 0 - 5V
[13:49:46] <RikusW> *0 - Vcc
[13:51:11] <[z_z]> :D I loaded the project in avrstudio and built it. I then used avrstudio and the jtagice3 to erase and flash the chip. After power cycling the chip I check for any new usb devices, but there are none.
[13:52:51] <qubyte> I'm using max 232, but I don't get the communication correct. I just get stuff like d???2???d???2???6???d I should receive Test I did it exactly like http://www.mikrocontroller.net/articles/AVR-Tutorial:_UART using HTerm to receive
[13:53:10] <RikusW> using AVR32 ?
[13:53:20] <RikusW> or normal AVR ?
[13:53:29] <RikusW> is your baud set right /
[13:54:21] <[z_z]> I was thinking the firmware might not be entering the bootloader, but it should be forced into the bootloader since after a chip erase the userpage is 0xff which causes the first check of cfg word1 to fail. is that correct?
[13:55:03] <RikusW> ask abcminiuser...
[13:55:17] <abcminiuser> Sorry, I was just e-breaking someone's legs
[13:55:24] * abcminiuser WHERE'S MY MONEY
[13:55:36] <RikusW> but iirc it does enter bootloader mode with an empty chip
[13:55:53] <qubyte> RikusW I'm using an atmega8. Everything as they do in this Tutorial. I do have a different cermaic capacitator at IC2P since i don't have 1uF but i was told it doesn't matter.
[13:56:11] <RikusW> abcminiuser: [z_z] is having trouble with putting a bootloader on AVR32
[13:56:11] <qubyte> RikusW, they config at the tool are like the configs they r using
[13:56:21] <qubyte> *the
[13:56:21] <abcminiuser> [z_z], which device are you using specifically?
[13:56:31] <abcminiuser> And which version of the bootloader?
[13:57:48] <[z_z]> abcminiuser, I am using the at32uc3c-ek. where would i look to find the bootloader version?
[13:58:05] <abcminiuser> Are you building it from source?
[13:58:23] <RikusW> i think he did
[13:59:39] <RikusW> abcminiuser: http://imgur.com/iuoyV4x
[13:59:48] <RikusW> my new pcbs made by hackvana
[14:00:36] <abcminiuser> [z_z], try the prebuild binaries first at http://www.atmel.com/images/icon_zip.gif
[14:00:44] <abcminiuser> Err http://www.atmel.com/Images/AVR32806.zip rather
[14:00:58] <[z_z]> Yes I am building from source. there was an option for asf version. i selected asf 3.7
[14:01:03] <abcminiuser> RikusW, shiny!
[14:01:35] <RikusW> yep :) top boards for my U2S
[14:01:47] <abcminiuser> U2S?
[14:01:52] <RikusW> did you know thay can route it at 0.8mm ?! :)
[14:02:05] <abcminiuser> [z_z], try the binaries first -- if they don't enumerate, the board might have an issue
[14:02:07] <RikusW> http://sites.google.com/site/megau2s
[14:02:11] <RikusW> my stk clone
[14:02:23] <abcminiuser> [z_z], make sure you plug it into the correct USB header, too - there's two
[14:02:50] <abcminiuser> The rightmost (IIRC) is a USB-to-Serial interface, fixed, while the left one is the USB interface of the AVR32 UC3C
[14:02:57] <RikusW> http://www.qrz.ru/schemes/contribute/security/jammers/gsm-jammer.pdf ......
[14:03:24] <[z_z]> yes. I was using the original DFU firmware the chip came with (I made a backup before experimenting), and it worked fine.
[14:04:03] <abcminiuser> Ok, that narrows things down somewhat :P
[14:04:18] <abcminiuser> The bootloader entry is conditional, and requires the user page to be configured right as far as I remember
[14:05:53] <abcminiuser> The zip I linked to has a script that sets the required fuses
[14:07:13] <qubyte> I found the mistake. I needed to choose 19200 baudrate but the code (avr code) uses ".equ BAUD = 9600 " why does this work? Shouldn't they be the same?
[14:08:22] <qubyte> It seems, that it gets doubled, why?
[14:09:10] <abcminiuser> qubyte, setting the U2X bit perhaps?
[14:09:17] <abcminiuser> Anyone here using the AS6.1 beta?
[14:09:22] <RikusW> aor wrong AVR clock maybe
[14:09:57] <RikusW> qubyte: you can try setting UBRR directly instead
[14:10:01] <qubyte> ah, the code used 4mhz and the avr used 8mhz... Seems to be a mistake in the tutorial.
[14:10:02] <qubyte> thanks
[14:10:09] <RikusW> and do make sure of the AVR clock frequency
[14:10:25] <RikusW> just fix the code :)
[14:10:56] <RikusW> some AVRs allow changing the clock prescaler too
[14:12:06] <abcminiuser> Really no one?
[14:12:25] <RikusW> I have AS6, not sure of the version
[14:12:36] <RikusW> and haven't actually used it
[14:12:42] <abcminiuser> Boo
[14:13:19] <cluelessperson> abcminiuser, I just download AS6.1 but I have no experience using any of it
[14:13:26] <cluelessperson> I'm only just getting into AVR
[14:13:29] <abcminiuser> Is it installed?
[14:13:54] <RikusW> mine was downloaded a few months ago...
[14:14:31] <abcminiuser> If someone has the 6.1 beta, can they pastebin C:\Program Files (x86)\Atmel\Atmel Software Framework\{latest version}\Scripts\tools\project_generator\schemas\content.xsd please
[14:14:57] <[z_z]> abcminiuser, I am using 6.1.2440-beta
[14:15:16] <abcminiuser> [z_z], ding ding ding we have a winner
[14:15:22] <abcminiuser> Can you send me that file as a pastebin please?
[14:17:36] * RikusW got ASF 3.1.3.114
[14:18:17] <abcminiuser> Bad kitty
[14:18:24] <abcminiuser> I need the XSD from the latest public release
[14:19:06] <RikusW> whats wrong with yours ?...
[14:19:13] <abcminiuser> Mine's too new
[14:19:32] <RikusW> cut by the cutting edge it seems ? ;)
[14:19:56] <abcminiuser> Need to make sure you plebians won't get broken installs because I release something that needs a too-new ASF :P
[14:20:09] <[z_z]> where latest version is 3.7.2.705 http://pastebin.com/eg9dFM01
[14:20:19] <langoliers> can i use a 24, 27, 48 MHz xtal with a prescaler ? div 2/4/8 ? or the xtal oscillator musz be within limits too?
[14:20:22] <megal0maniac> Ah. For your LUFA extension
[14:20:31] <abcminiuser> Thanks [z_z] !
[14:21:06] <abcminiuser> Ah shweet, it shouldn't break
[14:21:53] <langoliers> i assume the xtal osc can do 100MHz
[14:22:17] <RikusW> abcminiuser: release the new AS instead :-P
[14:22:40] <[z_z]> that .cmd file complains about avr32program... where can i get this from?
[14:23:13] <abcminiuser> It's really, really, really, really old
[14:23:31] <abcminiuser> It's part of AVR32Studio I think but you're better just using the programming window in AS6.1
[14:23:52] <abcminiuser> [z_z], can you try installing http://fourwalledcubicle.com/files/temp/LUFA-000000.vsix and see if it breaks ASF please?
[14:23:53] <twnqx> i still need a tool to program a sam3x :X
[14:24:04] <abcminiuser> twnqx, not a SAM3X-EK I hope
[14:24:05] <megal0maniac> 3rd world problems http://i.imgur.com/2vySAXi.png
[14:24:31] <abcminiuser> megal0maniac, you're going to hate the final release then :P
[14:25:03] <megal0maniac> I wget on my router and go to sleep. You learn to live with it :P
[14:25:08] <twnqx> abcminiuser: ATSAM3X4E / 8E likely
[14:25:30] <twnqx> requirement: linux command line.
[14:25:31] <abcminiuser> Fine, as long as it's not a EK board
[14:25:48] <twnqx> no, will be my own board
[14:26:05] <twnqx> but i am still looking for some ARM cpu with two can interface and a linux programmer
[14:26:14] <twnqx> seems to be something... unfindable
[14:27:14] <twnqx> i start to hit the performance limits of the 16mhz on the at90can ;)
[14:27:18] <megal0maniac> abcminiuser: What's different about the final release?..
[14:28:22] <abcminiuser> Some of the toolchains are a bit bigger
[14:28:31] <abcminiuser> Not sure why, but the latest trunks are a bit larger
[14:29:23] <[z_z]> abcminiuser, how do i install this?
[14:30:36] <abcminiuser> Run it and it should show up a install window
[14:30:46] <RikusW> megal0maniac: don't complain about ADSL you could have been using GPRS like me ;)
[14:30:53] <abcminiuser> It should only take a minute -- close Studio, run, re-open studio
[14:31:05] <abcminiuser> Try to create a new LUFA bootloader project (any one, doesn't matter which)
[14:31:24] <abcminiuser> If it creates the project successfully you can uninstall it and I'll crack the champagne
[14:31:42] <[z_z]> this file is not a valid VSIX package...
[14:33:03] <[z_z]> wierd size is 0 bytes. I'll download it again
[14:33:30] <megal0maniac> RikusW: RS is selling AVRISP MKII for R412. Is that normal or cheap? I can't tell...
[14:33:33] <abcminiuser> Should be about 4MB
[14:34:21] <RikusW> megal0maniac: what do they ask for the dragon ?
[14:34:31] <megal0maniac> R575 :/
[14:34:32] <abcminiuser> Oh god don't buy a dragon
[14:34:38] <megal0maniac> abcminiuser: Why?..
[14:34:45] <RikusW> abcminiuser: whats wrong with it ?
[14:34:54] <twnqx> they have a tendency to self destruct,or so i've heard
[14:34:54] <megal0maniac> JTAGICE MKII is around $500 here
[14:35:28] <megal0maniac> twnqx: They're okay if you don't touch the voltage regulator. I might bury mine in hot glue if I get one
[14:35:39] <abcminiuser> Buy a JTAGICE3 if you want debug
[14:35:44] <abcminiuser> But the dragon is...not fast
[14:35:46] <megal0maniac> abcminiuser: STUDENT
[14:35:47] <abcminiuser> or robust
[14:35:48] <megal0maniac> POOR
[14:35:52] <abcminiuser> $99 :P
[14:36:25] <abcminiuser> What currency is R?
[14:36:29] <abcminiuser> Russian Rubles?
[14:36:40] <twnqx> speaking of debugging. i used gdb with avarice and a jtag mkI, is it normal that i can't get a backtrace in breakpoints?
[14:36:48] <twnqx> abcminiuser: south african rand
[14:36:54] <abcminiuser> Ah
[14:37:31] <megal0maniac> They're USD156 here
[14:37:49] <megal0maniac> RikusW: (R1420 incl VAN & courier)
[14:37:52] <megal0maniac> VAT
[14:38:26] <twnqx> does the jtag mk3 wotk with avarice yet?
[14:38:36] <twnqx> or only... avr studio?
[14:39:16] <RikusW> abcminiuser: R = ZAR R9.40 = 1 USD
[14:39:42] <megal0maniac> Was like R8 in Jan :/
[14:39:43] <abcminiuser> I think there's a VERY prelim support in avarice for it, reverse engineered
[14:39:56] <abcminiuser> megal0maniac, wow you're being screwed
[14:40:01] <megal0maniac> Indeed
[14:40:06] <abcminiuser> Tried the Atmel Store?
[14:40:13] <megal0maniac> Yes
[14:40:27] <megal0maniac> Shipping is $50 and then duty when it gets here
[14:40:34] <megal0maniac> Which is min 14% increase
[14:40:38] <abcminiuser> Jesus
[14:40:46] <megal0maniac> Welcome :)
[14:41:09] <megal0maniac> Does Atmel give equipment to students? (Saleae does...)
[14:41:13] <RikusW> 14% VAT
[14:41:22] <abcminiuser> Well I can probably scrounge a dragon for you if you pay shipping since christ we don't want them
[14:41:31] <megal0maniac> Haha!
[14:41:32] <abcminiuser> Half price for students
[14:41:33] <twnqx> lol
[14:41:44] <megal0maniac> I'd pay USPS
[14:41:48] <megal0maniac> Not DHL :/
[14:41:56] <RikusW> DHL = $60....
[14:42:12] <RikusW> but more like $35 to most other countries...
[14:42:24] <megal0maniac> abcminiuser: Half price for students where? Atmel store?
[14:42:38] <twnqx> hm
[14:42:43] <twnqx> 120€ for a mk3
[14:43:17] <twnqx> i am annoyed that my mk1 needs 3s to flash 20kB...
[14:43:18] <abcminiuser> Your uni needs to sign up http://www.atmel.com/About/corporate/University/educators/get_your_tools.aspx
[14:43:19] <RikusW> abcminiuser: is the mk3 protocol somewhat the same as mkii ?
[14:43:29] <megal0maniac> Oh God
[14:43:38] <megal0maniac> abcminiuser: That won't happen
[14:43:39] <abcminiuser> RikusW, no
[14:43:54] * twnqx puts that on the "maybe later" list
[14:43:54] <RikusW> and there is no public docs on it either ?
[14:44:01] <twnqx> ofc not
[14:44:17] <langoliers> twnqx<= yeah, it should be like 30 sec minimum ;/
[14:44:41] <twnqx> all companies seem to be interested in forcing their proprietary, windows-only stuff for programming on people
[14:44:42] <langoliers> gives you more thinking time
[14:44:42] <twnqx> :/
[14:45:01] <twnqx> langoliers: but causes me timeouts if i reflash the software while using it
[14:45:12] <twnqx> and crashes in a commercial product.
[14:45:27] <langoliers> that doesn't sound good
[14:45:47] <twnqx> no, the max time if don't want to restart the other app is ~5s for flash and verify
[14:45:52] <twnqx> i had to deactivate verify for now
[14:46:18] <langoliers> make a timeout suspend then
[14:46:43] <twnqx> it's not MY software that has problems
[14:46:52] <twnqx> it's not my hardware either
[14:47:15] <RikusW> twnqx: try using bootloaders instead they're faster than a programmer
[14:47:39] <twnqx> i was considering that
[14:47:41] <langoliers> are bootloaders that cool?
[14:47:59] <langoliers> one can distribute encrypted firmware too
[14:48:02] <twnqx> and i will probably build my own bootloader once i have spare time
[14:48:28] <twnqx> encrpytion is good, but needs digital signatures, too
[14:48:28] <megal0maniac> HAHAHA!!!
[14:48:43] <megal0maniac> Yes, 50% discount. BUT, shipping is $60 anyway
[14:48:45] <twnqx> and programming over CAN
[14:49:11] <langoliers> twnqx<= yeah, sha1sum
[14:49:13] <megal0maniac> I can't pay more for shipping than I do for the item :/
[14:49:28] <twnqx> sha1sum is not good enough
[14:49:38] <langoliers> for 16k ? way beyond
[14:49:40] <twnqx> that's barely a hash
[14:49:51] <twnqx> not a digital signature to compare
[14:50:13] <twnqx> needs an asymmetric cipher
[14:50:19] <langoliers> i use it for data deduplication...
[14:50:35] <twnqx> that allows you to verify that the firmware is correct
[14:50:43] <twnqx> not that is a firmware you want to run
[14:50:45] <langoliers> haven't had any failures yes
[14:51:17] <langoliers> though recently i started wasting space and computing power and started using sha512sum
[14:52:27] <langoliers> twnqx<= i don't get what you are sending now
[14:52:57] <langoliers> sha1sum and its friends were made for digital signature.
[14:53:13] <twnqx> how do you trust the signature?
[14:53:16] <langoliers> thtey can detect 1 bit change in multi-gigabytes of data
[14:53:25] <twnqx> anyone can calculate the signature and attach it
[14:53:43] <twnqx> or rather, calculate the hash
[14:53:48] <langoliers> not if i encrypt the signature too :)
[14:55:29] <twnqx> so you use the secrecy of the encryption key
[14:55:58] <twnqx> what happens if someone physically opens the chip and takes it straight from the flash? :P
[14:56:26] <langoliers> ok
[14:56:30] <RikusW> twnqx: could you do that to the dragon's bootloader for me ?
[14:56:37] <RikusW> I want the AES key in there...
[14:57:02] <langoliers> :)
[14:57:06] <twnqx> that kind of stuff is a function of money
[14:57:16] <langoliers> make a deal then
[14:57:22] <langoliers> so, howw many beers?
[14:57:34] <twnqx> several years worth of supply :P
[14:57:35] <megal0maniac> twnqx: Then they want it so badly that they deserve to have it
[14:57:48] <RikusW> how about the reverse engineered dragon firmware ?
[14:58:06] <RikusW> full PDI debug docs ?
[14:58:29] <twnqx> how did you RE the firmware... without the key?
[14:59:32] <twnqx> from an update that ships without?
[15:00:06] <langoliers> isn't guessing the AES key simpler than "opening up the flash" ? ;)
[15:00:14] <twnqx> depends on the length
[15:00:40] <langoliers> atmel might have just used key "boobies"
[15:00:48] <twnqx> but with 256bit "no"
[15:02:25] <twnqx> 128 should be doable with an EC2 farm
[15:02:49] <langoliers> xilinx?
[15:03:05] <twnqx> amazon
[15:03:10] <twnqx> forget 256bit breaking
[15:03:28] <twnqx> yes, the NSA can do it, at very high prices, just for electricity
[15:03:28] <langoliers> yeah i know, that is high ;)
[15:04:42] <twnqx> also, what's the point :P cloning dragons in china for little profits? :P
[15:04:57] <langoliers> $2
[15:05:18] <langoliers> multiply with 10k pieces per week
[15:05:36] <twnqx> do you think people are buying that many dragons?
[15:05:40] <langoliers> yeah
[15:05:46] <langoliers> if it were $10 ?
[15:06:29] <twnqx> probably would be easier to open the chip and kill the extended fuses, then read from jtag
[15:06:31] <langoliers> you buy cheaper things
[15:06:52] <langoliers> it is not simple anymore :)
[15:07:08] <langoliers> new atmel chips have advanced protections
[15:07:37] <[z_z]> abcminiuser, sorry for the wait... I haven't touched windows in a few years. how do i use the lufa extension? It installed fine.
[15:07:41] <RikusW> twnqx: thats why I need you to get me the key...
[15:08:15] <abcminiuser> Open the New Example Project screen
[15:08:23] <twnqx> mhh
[15:08:27] <abcminiuser> See if a new node shows up as "FourWalledCubicle"
[15:08:53] <twnqx> might be a worthwhile endeavour
[15:08:55] <abcminiuser> If it does expand it out and try to make a bootloader project -- I just want to make sure the changes I've made recently don't break under the released build of AS6.1
[15:09:24] <RikusW> twnqx: I did actually get hold of the jtagice mki and stk500 fw
[15:09:35] <RikusW> took months to reverse engineer
[15:09:38] <twnqx> if you have stk500 schematics
[15:09:47] <twnqx> i would be interested
[15:09:51] <twnqx> i have two dead here
[15:10:04] <RikusW> http://sites.google.com/site/megau2s/home/
[15:10:04] <Tom_itx> abcminiuser, anything else broken under as6.1?
[15:10:06] <twnqx> oh wait, that's 600
[15:10:14] <RikusW> twnqx: I even have the bootloader on the 1200 chip
[15:10:15] <twnqx> dieing like flies, worse than dragons
[15:10:36] <RikusW> twnqx: the stk500 schematics come with the Atmel dvd iirc
[15:10:42] <RikusW> and I do have them around
[15:10:47] <twnqx> i'd need 600 :/
[15:10:50] <twnqx> my mistake
[15:10:57] <twnqx> the stk600, i mean
[15:11:16] <RikusW> I don't have those...
[15:11:18] <twnqx> those things are apparently as badly designed as dragons
[15:11:31] <abcminiuser> Tom_itx, a few whoopsies, a lot of fixes
[15:11:45] <RikusW> twnqx: my U2S board can be fixed
[15:12:00] <RikusW> just replace the m32u2 and I'll give you the hex file
[15:12:11] <twnqx> i have no idea what is broken
[15:12:17] <twnqx> probably the power supply
[15:12:22] <twnqx> one of them
[15:13:33] <RikusW> hmm
[15:13:56] <cluelessperson> so, to be clear. In assembly, a subroutine is similar to a function?
[15:14:04] <RikusW> yes
[15:14:08] <twnqx> different name, same thing
[15:14:31] <cluelessperson> this tutuorial I'm reading makes ASM seem easy
[15:14:35] <twnqx> asm is easy
[15:14:40] <twnqx> just more effort
[15:14:49] <twnqx> and mostly not worth it
[15:14:53] <twnqx> *mostly*
[15:15:17] <twnqx> i'd rather use C and decompile the result to see if it can be improved
[15:15:32] <RikusW> cluelessperson: AVR asm is fairly easy
[15:16:10] <twnqx> you just have to think around corners at times because you don't have trivial highlevel functions like divisions :P
[15:16:15] <RikusW> however keeping track of register usage in larger projects can be problematic...
[15:16:19] <twnqx> but at least you have enough registers
[15:16:30] * twnqx remembers his 6502 days
[15:16:41] <twnqx> 1 "general purpose" register, yey
[15:16:41] <RikusW> my jtag ice clone is a few thousand lines of asm...
[15:17:03] <cluelessperson> how do you "load" or read from a variable?
[15:17:17] <cluelessperson> like
[15:17:28] <RikusW> 3600 lines...
[15:17:39] <RikusW> lds + sts
[15:17:41] <cluelessperson> in Arduino, or C, I have an array of 180 bytes.
[15:17:47] <[z_z]> abcminiuser, there are allot of projects but no 'bootloader' ones. I opened the lufa_device_template (Xmega) and it opened fine.
[15:17:49] <RikusW> or ld/st
[15:18:00] <twnqx> RikusW: my currect project has 3700 lines of C :P
[15:18:03] <[z_z]> there are 75 projects. fyi
[15:18:09] <abcminiuser> Balls, sounds like it doesn't work correctly then
[15:18:32] <cluelessperson> ..so can I load all 180 bytes at once?
[15:18:35] <twnqx> no
[15:18:41] <twnqx> load to where
[15:18:49] <twnqx> in the first place
[15:19:05] <cluelessperson> twnqx, I need to use assembly to bitbang 180 bytes.
[15:19:18] <[z_z]> <.< no alcohol for you then
[15:19:19] <RikusW> you'll load one by one
[15:19:21] <twnqx> loop over it, bitbang byte by byte
[15:19:41] <RikusW> cluelessperson: and you'll probably want to use X Y or Z
[15:19:53] <cluelessperson> RikusW, I haven't learned about those yet. :P
[15:20:00] <RikusW> ldi r30 , low byte of address
[15:20:06] <RikusW> ldi r31, high byte
[15:20:09] <twnqx> why... not just use C?
[15:20:15] <RikusW> r30/31 is Z
[15:20:27] <cluelessperson> twnqx, terrific timing restraints.
[15:20:28] <RikusW> and then just use ld r16, Z+
[15:20:39] <RikusW> in a loop
[15:21:07] <cluelessperson> Z = zero flag?
[15:21:08] <twnqx> http://pastebin.com/Pext9hPR that binbanger was so fast i had to add nops :P
[15:21:49] <RikusW> Z is the Z pointer
[15:22:18] <cluelessperson> RikusW, is Z+ incrementing Z?
[15:22:23] <cluelessperson> RikusW, r16 being the data?
[15:22:51] <RikusW> yes
[15:22:58] <RikusW> you're loading from Z into r16
[15:23:18] <cluelessperson> ah, so that's a counter.
[15:23:21] <RikusW> like r16 = *Z++; if it was C
[15:23:57] <RikusW> you can use another register for the counter
[15:24:11] <RikusW> dec r17 brne loop
[15:24:31] <cluelessperson> RikusW, How do I loop over a bunch of data?
[15:24:33] * twnqx hasn't written asm since the 80486 era :X
[15:25:00] <cluelessperson> R0-R31 only hold a byte each
[15:25:14] <cluelessperson> I have 180 bytes total to parse over, and later, I'll need more.
[15:25:39] <twnqx> really, write it up in C, disassemble it, and look what a C compiler does
[15:25:56] <RikusW> cluelessperson: you can compare Z or use another register being decremented and brne to compare
[15:25:59] <megal0maniac> cluelessperson: Are you sure it can't just be done in C? (And I mean real C, not Arduino C)
[15:26:10] <rue_house> better yet, just compile it to asm
[15:26:14] <rue_house> its a gcc flag
[15:26:22] <cluelessperson> megal0maniac, RikusW VERY precise timing constraints.
[15:26:22] <megal0maniac> rue_house: !!!
[15:26:25] <cluelessperson> of like.. 2 cycles
[15:26:26] <twnqx> or objdump the resulting binary
[15:26:37] <rue_house> -S Stop after the stage of compilation proper; do not assemble. The output is an assembler code file for
[15:26:37] <rue_house> each non-assembler input file specified.
[15:26:51] <megal0maniac> rue_house: What have you done with tobbor?
[15:27:05] <RikusW> cluelessperson: two AVR clocks per bit ?!
[15:27:06] <[z_z]> abcminiuser, I erased, flashed at32uc3c-isp-1.1.4.hex, and set the fuses to 0xF877FFFF and still don't get a usb device. any ideas?
[15:27:21] <twnqx> cluelessperson: in total i would say... barely possible
[15:28:08] <cluelessperson> RikusW, twnqx 1.25 microseconds per bit. 1 = HIGH .25us / LOW1us 0 = HIGH 0.6us/LOW 0.65us
[15:28:38] <RikusW> 800kHz ?
[15:28:43] <cluelessperson> yes
[15:29:06] <RikusW> at 16MHz that would give 20 clocks per bit
[15:29:10] <RikusW> just doable
[15:29:12] <rue_house> my isp is having problems that seem to upset tobbor
[15:29:32] <RikusW> but you'll have to count the cycles used for each instruction
[15:29:32] <cluelessperson> RikusW, tight, yes.
[15:29:42] <RikusW> ld use 2 clocks
[15:29:46] <RikusW> and brne too
[15:29:50] <cluelessperson> RikusW, manually, or in code?
[15:29:51] <RikusW> most others use 1
[15:29:58] <twnqx> couting manually
[15:30:01] <RikusW> manually in your code...
[15:30:04] <twnqx> counting the cycles
[15:30:14] <RikusW> to make a loop of exactly 20 clocks
[15:30:15] <cluelessperson> yeah, I was planning on counting up the cycle time for each instruction and timing it all out.
[15:30:20] <RikusW> for 16MHz AVR
[15:30:40] <RikusW> cluelessperson: AVR Studio simulator can count clock too
[15:30:45] <megal0maniac> Many of the 16mhz AVRs can be clocked at 20mhz...
[15:30:57] <RikusW> it there is less than 20 just add nop :)
[15:30:59] <cluelessperson> problem is I only know arduino C, not C, and only just now starting ASM. :P
[15:31:00] <twnqx> overvolt them!
[15:31:17] <cluelessperson> RikusW, exactly.
[15:31:18] <RikusW> twnqx: want fried AVR ?
[15:31:39] <RikusW> twnqx: some AVRs even work at 16MHz and 3v3
[15:31:39] <twnqx> they'll survive
[15:31:44] <megal0maniac> 32u2 ran happily at 16mhz with only 3v3
[15:32:02] <megal0maniac> You're just not allowed to complain to Atmel if it doesn't work properly :P
[15:32:49] * megal0maniac wonders if you can return a car if it can't reach the rated top-speed...
[15:33:06] <twnqx> mine exceeds it :X
[15:33:47] <twnqx> it even exceeds the parameters accessible via can. limited to an 8bit value >_>
[15:33:49] <cluelessperson> so
[15:33:58] <cluelessperson> using what you told me, I can make a counter.
[15:34:06] <cluelessperson> how do I use that counter to parse over 180 bytes?
[15:34:15] <cluelessperson> I mean, what variable would hold that?
[15:35:01] <RikusW> just put that in ram or even plain flash
[15:35:13] <RikusW> then you'd use lpm r16,Z+
[15:35:23] <cluelessperson> RikusW, plain flash?
[15:35:35] <RikusW> AVR got flash and ram
[15:35:43] <RikusW> flash -> .db in asm
[15:36:15] <[z_z]> fun place to put lookup tables that don't fit in ram
[15:36:20] <twnqx> RikusW: that was bothering me for some time. i have a 128kB flash chip - how do i even use the upper 64kB? :S
[15:36:26] <cluelessperson> http://paste.ubuntu.com/5684149/
[15:36:29] <RikusW> var_name: .db 0x11,0x22,0x33,0x44
[15:36:29] <cluelessperson> is my code so far.
[15:36:40] <cluelessperson> i'll be replacing the ASM down in FIRE(){
[15:37:09] <RikusW> cluelessperson: there is a register for the high bit afaik
[15:37:25] <cluelessperson> RikusW, I don't know what that means.
[15:38:01] <Horologium> it's ALIVE!
[15:38:03] <Horologium> replaced 7.2KRPM ide drives with 10KRPM scsi
[15:38:04] <twnqx> it means you might not want to have a project like this for your first asm program...
[15:38:15] <RikusW> cluelessperson: there is a RAMPZ register for bit16
[15:38:19] <cluelessperson> twnqx, too late. :P
[15:38:22] <Horologium> and went from opensuse back to debian.
[15:38:33] <megal0maniac> Horologium: wise man
[15:39:04] <Horologium> someone tried to tell me opensuse was better so I gave it a try...for a month.
[15:39:04] <twnqx> go to the truth, choose gentoo
[15:39:19] <Horologium> I like debian...have always liked it.
[15:39:32] <RikusW> cluelessperson: one other gotcha, flash addresses use word pointer and data in lpm byte pointer
[15:39:35] <Horologium> kde3 was slow and horrid.
[15:39:47] <RikusW> cluelessperson: so divide by 2....
[15:39:55] <megal0maniac> I quite like linux mint..
[15:41:01] <RikusW> err *2....
[15:41:34] <twnqx> oh, word addresses
[15:41:41] <twnqx> i guess that explains my 128k :)
[15:41:41] <RikusW> yep...
[15:41:56] <twnqx> nowhere near needing it, but good to know
[15:45:20] <cluelessperson> RikusW, ... confused.
[15:47:29] <RikusW> cluelessperson: a basic outline of your app
[15:47:30] <RikusW> http://pastebin.ca/2351311
[15:48:25] <RikusW> getting it clock cycle accurate will be a challenge, especially since loading the byte is in a seperate loop...
[15:48:31] <RikusW> unless that doesn't matter
[15:48:38] <RikusW> like for soft UART
[15:49:07] <cluelessperson> is there a way to parse over the 180bytes directly, bit by bit without breaking it down?
[15:52:13] <RikusW> you could write an unrolled loop...
[15:52:26] <RikusW> and have the actual data embedded in the code itself
[15:53:12] <RikusW> using CBI/SBI
[15:53:47] <RikusW> or use the loops and some very tedious cycle counting and fiddling around
[15:58:59] <cluelessperson> RikusW, I'll have to learn more about this all first.
[15:59:42] <RikusW> ado you have the avr instruction set reference ?
[16:00:03] <cluelessperson> http://www.atmel.com/images/doc0856.pdf
[16:00:06] <cluelessperson> this I think
[16:00:10] <RikusW> yes
[16:10:45] <[z_z]> ug... I'm so stuck
[16:12:14] <Horologium> you shouldn't wash with superglue.
[16:12:26] <megal0maniac> abcminiuser: Just installed AS6.1, finally. Where *should* one find the bootloader project? There are 75 other items (which work fine)
[16:12:56] <abcminiuser> Did you install it from the package I uploaded or the gallery?
[16:13:51] <megal0maniac> The one you uploaded
[16:14:21] <abcminiuser> Oh crap, I forgot to refresh the cache
[16:14:27] * RikusW hands [z_z] some acetone to disolve the superglue
[16:15:38] * [z_z] jumps in
[16:15:58] <abcminiuser> Uninstall the extension from the extension gallery
[16:16:34] <megal0maniac> Gone!
[16:16:57] <abcminiuser> Then re-download and try again :P
[16:17:11] * abcminiuser next time should read his own generator's sanity check warnings
[16:18:57] <megal0maniac> RikusW: R655 incl. Plus R75 for shipping, unless your order totals > R1000
[16:19:11] <megal0maniac> Oh. Ignore :/
[16:20:52] <megal0maniac> abcminiuser: Success!
[16:20:54] <megal0maniac> http://i.imgur.com/h7Iod2H.png
[16:21:04] <megal0maniac> 99 projects
[16:21:21] <megal0maniac> (And a b*tch ain't one)
[16:21:55] <abcminiuser> Booyah, that means the new XML schema still validates
[16:22:00] <RikusW> megal0maniac: is that 655 for the dragon ?
[16:22:05] <abcminiuser> Which means people can make bootloaders via the GUI now
[16:22:45] <megal0maniac> RikusW: Yeah
[16:23:05] <megal0maniac> abcminiuser: But now I have an empty project window... What now?
[16:24:39] <abcminiuser> In the solution explorer you should be able to find the source in the src folder
[16:24:48] <abcminiuser> If you build, do you get an error about the optimization level?
[16:25:30] <megal0maniac> Correct
[16:25:53] <abcminiuser> Now if you change the build profile to Release (drop-down at the top) and re-try
[16:26:34] <megal0maniac> Build: 1 succeeded or up-to-date, 0 failed, 0 skipped
[16:27:06] <abcminiuser> Woo
[16:27:09] <megal0maniac> Program Memory Usage :3818 bytes
[16:27:17] <abcminiuser> It works then
[16:27:20] <abcminiuser> I'll be damned
[16:27:40] <megal0maniac> Why is the optimization level different between debug and release? :?
[16:28:12] <twnqx> because optimized code can be hard to debug
[16:28:47] <megal0maniac> Ah, I see.
[16:29:31] <megal0maniac> abcminiuser: Glad it works. Shout if you need more guinea-pigging (since it's all set up now)
[16:29:41] <megal0maniac> I'm going to have fun with this :)
[16:30:02] <abcminiuser> I'm altering the generator for test builds, so that new test builds can install over the top of the old, but all can be updated by release versions :P
[16:32:02] <megal0maniac> Cool :)
[16:32:13] <megal0maniac> I'm off. Goodnight all
[16:32:38] <abcminiuser> Night
[16:33:48] <RikusW> night
[16:35:08] <[z_z]> abcminiuser, do you think i will have better luck getting lufa to work than the asf dfu project?
[16:35:30] <abcminiuser> Not on the UC3 devices
[16:35:46] <abcminiuser> Goodam they're finnicky, and I don't have a board to test with here
[16:35:54] <abcminiuser> Hrmph
[16:36:08] <abcminiuser> Try the prebuild binaries anyway, see if they work from that zip
[16:36:14] <abcminiuser> Only program in the HEX
[16:36:24] <abcminiuser> That will rule out fuse and user page configuration
[16:37:49] <[z_z]> yup. I left the userpage empty. From reading the source, its supposed to force run the bootloader when the userpage is empty.
[16:38:00] <RikusW> AppCAD got a Society for the prevention of cruelty to semiconductors page :-P
[16:38:56] <Horologium> why didn't they do anything for all those 555 timer chips that were cruelly tortured in the last 555 challenge?
[16:40:49] <RikusW> AppCAD -> www.hp.woodshot.com
[16:42:24] <Horologium> bah...windows only.
[16:44:12] <RikusW> yep
[16:44:17] <RikusW> wine ?
[16:44:51] <Horologium> no thanks,,,don't drink.
[17:06:29] <twnqx> :( my code is too slow
[17:06:45] <Horologium> just need a faster processor.
[17:06:56] <Horologium> this is the microsoft way.
[17:07:29] * twnqx makes it faster with another static array
[17:07:47] <twnqx> 20µs in not acceptable
[17:07:49] <twnqx> is*
[17:07:56] <twnqx> or wait
[17:07:56] <Horologium> overclock!
[17:07:57] <twnqx> it's 20ms
[17:08:21] <twnqx> hm, yeah... 320MHz avr might do it :P
[17:08:33] <twnqx> i doubt i can overclock it THAT far
[17:08:36] <Horologium> gonna need a liquid nitrogen cooler.
[17:11:30] <tzanger> twnqx: what are you trying to do?
[17:11:50] <twnqx> oh, i know why it is that slow
[17:12:02] <twnqx> seems tons of lpm instructions are not a good idea
[17:12:16] <twnqx> and linear searches in large arrays
[17:13:21] <RikusW> hmm the wintel alliance, slower sw + faster hw
[17:13:35] <RikusW> business win users lose...
[17:16:24] <Horologium> lets all go back to dos and wfw3.11
[17:16:41] <RikusW> and 386 ?!
[17:16:44] <RikusW> argh
[17:16:52] <Horologium> naaa
[17:17:00] <Horologium> run it on a 2ghz p-4
[17:17:03] <RikusW> dos on i3 ?
[17:17:16] * RikusW prefers bash
[17:19:51] <twnqx> aaand down to ~2ms
[17:20:14] <twnqx> does 8bit avr have an instruction cache?
[17:22:00] <specing> no
[17:23:06] <tzanger> it runs out of flash with 1 cycle read time, the entire program space is cache. :-)
[17:23:25] <twnqx> then i'll guess the 1-2ms jitter will just result from the serial2usb
[17:23:39] <jacekowski> only 1ms?
[17:23:53] <tzanger> twnqx: use rs232 and see if the jitter goes away for a test
[17:23:56] <jacekowski> no chance, usb transactions take longer than 1ms
[17:24:10] <twnqx> the ft230x aggregates
[17:24:20] <twnqx> and my new reader code manages to keep it busy!
[17:24:37] <twnqx> and i have no non-usb rs232 left
[17:25:04] <Horologium> even with it aggregating, usb can go fluttery.
[17:25:49] <twnqx> i'll just assume that's the reason and go to bed
[17:25:49] <twnqx> nn :)
[17:26:52] <Horologium> USB is notoriously fluttery..
[17:27:05] <Horologium> it should never be used for anything requiring realtime response.
[17:29:56] <tzanger> Horologium: isochronous mode seems pretty stable
[17:30:26] <tzanger> bulk (which is what almost everything uses) has no guaranteed latency
[17:33:23] <Horologium> seems, yes.
[17:33:29] <Horologium> and can be "pretty stable"
[17:33:54] <Horologium> but even at that there can be pauses and jitter if you have anything else on the usb bus at all.
[17:55:21] <[z_z]> yay! I'm not sure why... but the bootloader binaries are working now.
[18:27:16] <tzanger> if you're getting spurts and starts in isochronous mode the host controller's doing something wrong
[18:30:22] <nevdull> Horo: you around mate?
[18:53:52] <Horologium> on and off.
[19:38:40] <Valen> anybody seen a cheap USB infrared (remote controll) sender reciever?
[19:39:13] <Malinuss> Valen, wut?
[19:39:32] <Valen> so I can send and recieve IR control codes over usb
[19:39:53] <Malinuss> Valen, I'm pretty sure there is no such thing, also even if there were why would you want that? Why not use avr+IR receiver+IR LED?
[19:39:57] <Valen> I mean an avr with lufa and some external bits could do it sure
[19:40:18] <Valen> umm, so my computer can turn the amp and TV on/off volume up down etc
[19:40:26] <Valen> and no, hdmi won't do it
[19:40:30] <Malinuss> yeah I'm doing that
[19:41:08] <Malinuss> hdmi?
[19:41:09] <Malinuss> wut
[19:41:24] <Malinuss> you mean HDI?
[19:41:33] <Valen> in theory hdmi has a serial channel (cec) that can be used to control amplifiers
[19:41:36] <Valen> and tvs
[19:41:37] <Malinuss> HID even
[19:41:47] <Viper7> Malinuss: HDMI-CEC
[19:41:54] <Malinuss> Valen, you just said you wanted to use the usb?
[19:42:01] <Viper7> because he cant use the hdmi
[19:42:06] <Valen> yes, because hdmi won't do it
[19:42:46] <Viper7> Valen: kinda need to be more specific about what you want :P if you just want lowest cost....
[19:42:49] <Viper7> virtually every tv tuner comes with an IR receiver and remote, you can use the receiver to receive anything - if you dont have one, you can get a standalone usb remote for like $6 delivered - and your sound card can drive an IR transmitter LED directly :P
[19:42:59] <Viper7> (or a $2 usb sound card :P)
[19:43:10] <Valen> Viper7: hmm, now thats interesting
[19:43:33] <Viper7> as for a purpose built unit
[19:43:34] <Viper7> http://www.aliexpress.com/item/1PCS-Lot-free-shipping-MCE-USB-IR-Receiver-Emitter-Wire-MCE-Media-Center-Receiver-IR-emitte/574447590.html
[19:43:35] <nevdull> you could always use the irda peripheral on an xmega :)
[19:43:38] <Valen> the specific problem is I have a PC and amp in a cupboard, I want to turn the amp on when I turn the tv on
[19:43:52] <Viper7> Valen: you can also get current sensing power-boards
[19:44:02] <Viper7> so when a device comes off standby in the "master" port, all the slaves come on
[19:44:05] <Malinuss> Valen, lol I'm doing that exact thing
[19:44:07] <Valen> and I'd also like to do it in reverse, when I press magic key X on the keyboard it turns the amp and the tv on
[19:44:18] <Valen> http://dx.com/p/usb-irda-dongle-753 is looking possible
[19:44:18] <Malinuss> well almost
[19:44:41] <Malinuss> using a atmega32u4, and a 1$ receiver, and a IR LED that I found in a old remote
[19:44:43] <Viper7> Valen: will the pc even be on with the amp/tv off? i'd guess so, but just checking
[19:44:47] <Valen> also I'm passing through the audio stream into the amp, so i need to adjust the volume there
[19:44:49] <Viper7> /even/ever/
[19:44:50] <Valen> PC is 24/7
[19:45:24] <Malinuss> same here
[19:45:34] <Viper7> note that irda is NOT the same as 38kHz remote IR
[19:45:45] <Malinuss> I also did some keyboard/mouse, so I can set the remote to control the computer
[19:45:57] <Viper7> its possible to receive with some units using software decimation on the signal, but its dodgy at best imo
[19:46:00] <nevdull> i used a 484MHz ISM tx/rx to the remote connected to a 5V excitation relay that switched on a power strip that had all my devices i wanted to come on at once
[19:46:01] <Valen> yes, though I am under the impression that one can use an irda dongle to do it
[19:46:03] <Malinuss> say it also turns on my amp when I turn it on (evn though the remote is only for the TV)
[19:46:19] <nevdull> sparkfun and ebay has some good 2.4GHz tx/rx on the cheap
[19:46:48] <Valen> the TV itself is run off a seperate power point too btw
[19:47:02] <Valen> so the sensing ones probably won't work
[19:48:36] <Valen> i broke him :-<
[19:49:09] <Viper7> Valen: you only need the receiver to learn the codes yes? you dont actually need to transmit IR to the PC for this? just to the tv/amp?
[19:49:20] <cluelessperson> so
[19:49:22] <Viper7> if so just lookup the codes online and use the sound card trick
[19:49:26] <cluelessperson> In using Z with assembly
[19:49:27] <Viper7> $2 usb audio + 10c IR LED
[19:49:41] <Valen> I am thinking of having it receive the codes
[19:49:48] <Viper7> to do what?
[19:49:48] <Valen> well I was
[19:49:52] <Valen> now I'm wondering why
[19:49:53] <Valen> lol
[19:49:56] <Viper7> hehe
[19:50:07] <Viper7> its a fine goal, as long as you have a seperate remote for the pc
[19:50:22] <Valen> I was thinking pressing the power button on the TV would cause the PC to wake up the amp
[19:50:29] <Valen> but I need the keyboard to use the PC
[19:50:30] <Viper7> and if thats what you want, i'd go one of those $6-$7 usb receiver + remote deals
[19:50:34] <Valen> so might as well just use that
[19:51:33] <Valen> I should in theory have a sound card free in the box itself
[19:51:49] <Viper7> even better then
[19:51:50] <Valen> though linux and sound never seem to play nice together, I have HDMI audio
[19:52:29] <Valen> its worth a try i guess to push some noise out the analog out whilst the digital is playing
[19:52:34] <Valen> hopefully things don't barf on it
[19:53:19] <Valen> hah! i have an Rasbperry Pi spare, I should use its GPIO pin with the IR LED
[19:53:24] <Valen> that'd be some nice overkill
[19:53:25] <Viper7> receiver: http://www.lirc.org/ir-audio.html
[19:53:27] <Viper7> transmitter: http://www.lirc.org/html/audio.html
[19:53:29] <Valen> run it off the wifi
[19:53:49] <Viper7> Valen: that'd be fine for transmitting, not for receiving tho
[19:54:14] <Valen> poll the crap outta the gpio pin and hook up one of the demodulated recievers
[19:54:21] <Valen> most epic waste of cpu power *ever*
[19:54:56] <Viper7> except that even with RT kernel patches and the highest possible process priority, its easy for the linux kernel to steal up to about 20ms of time from your process
[19:55:02] <Viper7> which is about the size of a 38kHz IR bit
[19:55:14] <Viper7> so you'll get data corruption and have to sort through it
[19:55:19] <Valen> do fancy DSP to recover stuff
[19:55:22] <Valen> awesome!
[19:55:23] <Valen> ;-P
[19:55:31] <Viper7> just use the audio jacks :P
[19:55:36] <Valen> :-<
[19:55:44] <Viper7> hehe
[19:57:17] <Valen> my goal for today is to 1) service the car and 2) close the cupboard
[19:57:38] <Valen> (2 requires re-wiring the house and installing fans in said cupboard ;->)
[20:05:47] <Valen> might need to modufy that schematic some, I need 2 outputs (outside and inside) so I figure i'll just bridge rectify it, then run the LED's off a uln2003
[20:05:59] <Valen> power it from usb ;->
[21:00:26] <jadew> anyone familiar with xmega adc?
[21:00:28] <jadew> http://pastebin.com/UAwfGnh7
[21:00:41] <jadew> that code, appears to work, but it doesn't
[21:01:05] <jadew> no matter which adc pin I want to use, I get the same result, altho I know for a fact they are at different voltages
[21:02:26] <jadew> now, even tho the datasheet says that writting to ADCA.CH0.CTRL START bit, is the same as writting to the start bit in ADCA.CTRLA, if I do that, the it will hang inside the while loop (line 12)
[21:03:05] <jadew> any ideas? - don't say the sample code, it sucks so bad
[21:03:43] <jadew> the samples they offer have the things you need under 20 layers of obfuscation, freaking retarded
[22:08:18] <jadew> alright, figured out why it's not working
[22:08:27] <jadew> the freaking avr-gcc headers are broken
[22:08:36] <jadew> http://www.avrfreaks.net/index.php?name=PNphpBB2&file=printview&t=97339&start=0
[22:21:32] <langoliers> Horologium<= xfce :)
[22:35:15] <nevdull> jadew: just a shot in the dark, but does your flag clearing at the end of your code...shouldn't it be ADCA.CH0.INTFLAGS = 0 (or whatever)?
[22:35:44] <jadew> ADCA.INTFLAGS = ADC_CH0IF_bm;
[22:35:49] <jadew> line 13
[22:36:04] <nevdull> yeah, but you're starting conversion ch0
[22:36:13] <jadew> it's the same thing
[22:36:15] <nevdull> ie while (!ADCA.CH0.INTFLAGS);
[22:36:17] <nevdull> ah ok
[22:36:34] <jadew> it works now, it was the gcc headers
[22:36:46] <jadew> but the chip is broken
[22:36:59] <jadew> I just went trough the errata and there are tons of ADC related issues
[22:37:05] <nevdull> really? there's *still* errors in the gcc headers for xmega?
[22:37:22] <nevdull> ugh that sucks
[22:37:25] <jadew> yeah, the link I posted fixed the issue
[22:38:59] <nevdull> ah good. i'm using avr-gcc 4.7.1 but have only mostly worked with spi, usart, pmic, event system, dmac on xmega.
[22:39:22] <nevdull> i wanted to play with that high-accuracy thingo on it (PWEX or something?) when I ran across it in the datasheet
[22:40:01] <jadew> awex
[22:40:12] <jadew> it's cool for pwm
[22:40:28] <jadew> you can get way better accuracy
[22:40:47] <jadew> I wish I was familiar with the adc issues on this thing before I started this project
[22:41:18] <jadew> now I'm on my desk with a device that works, it's boxed and the main chip fails to do its job
[22:41:44] <nevdull> yah i remember reading that...it looked fun. so, do you know if the dac has as many problems as the adc on xmega? my next chore is to multiplex 3 signals from the dac (too bad mine only has two dac endpoints)
[22:42:03] <jadew> the xmega I'm using doesn't have a dac
[22:42:12] <nevdull> ah ok...an A4?
[22:42:13] <jadew> I'm using external dacs for this project
[22:42:17] <jadew> D4
[22:42:33] <jadew> they're supper cheap, like $2-3
[22:42:48] <nevdull> yeah i was using an R2R DAC with a diffy amp at one end but started moving it over to the onboard dac
[22:43:35] <nevdull> yeah, i picked up a few dacs as samples from ti.com several months ago. i forgot what package they were in...something maybe tssop. very tiny and not prototype friendly but have them if i need them :)
[22:44:32] <jadew> you can also try with PWM + 2 x low pass filters, but if accuracy or speed are important, I guess a real dac is more appropiate
[22:45:33] <nevdull> yeah, suppose it would really depend on the throughput i needed. i had good luck with a 4th order chebychev low-pass filter in a design a year or so ago
[22:46:19] <jadew> brb, gotta take a smoke, this thing is pissing me off
[22:46:26] <nevdull> heh ok enjoy
[22:50:14] <jadew> back
[22:50:38] <nevdull> rehi
[22:50:42] <jadew> I need to put the scope on this thing, for some reason the reading changes drastically when I turn the output on (it's a power supply)
[22:50:53] <jadew> altho the reference voltage doesn't change, nor the input voltage
[22:51:01] <nevdull> what kind of power supply?
[22:51:12] <jadew> trying to make a lab power supply
[22:51:16] <nevdull> load resistance changing?
[22:51:16] <jadew> 0-20V 0-1A
[22:51:23] <nevdull> switching or ?
[22:51:24] <jadew> there's no load
[22:51:37] <jadew> I'm just connecting the outputs
[22:51:44] <jadew> only the digital side is switching
[22:51:58] <jadew> the power supply itself is linear
[22:52:02] <nevdull> is it configured for constant current/constant voltage?
[22:52:06] <nevdull> gotcha
[22:52:08] <jadew> yeah
[22:52:38] <nevdull> maybe throw in a 0.5ohm resistor in series to the output and sample at both endpoints
[22:53:16] <jadew> the voltage would drop to almost 0 then, because of the current limitting
[22:53:55] <nevdull> you have a crowbar circuit or anythig on it?
[22:54:28] <jadew> I don't know what a crowbar circuit is (I'm kind of a noob)
[22:54:32] <nevdull> what vreg are you using?
[22:54:57] <jadew> I'm using an opamp with a darlington output stage
[22:55:02] <nevdull> a crowbar is just an overvoltage protection circuit
[22:55:21] <nevdull> a step down? buck boost?
[22:55:24] <jadew> ah, no, nothing like that
[22:55:35] <jadew> so I have a 24V input
[22:55:46] <jadew> from there I step it down to 8V with a smps
[22:55:51] <nevdull> gotcha
[22:56:16] <jadew> from there, I use a bunch of LDO's to get 3.3, 5v - for the dac, 10V for the reference (it self regulates itself)
[22:56:24] <jadew> and the opamp is powered directly from the input
[22:56:38] <nevdull> rail to rail opamp or single supply?
[22:56:49] <jadew> single supply, a lm324n
[22:56:54] <nevdull> ah ok
[22:57:12] <jadew> seems to do fine and the offset is not that bad
[22:59:05] <nevdull> yeah has an ok slew rate if i remember right and medium cmrr. i think i bought like 20 of them off of ebay a few years back for a few $$ from thailand or china heh
[22:59:29] <jadew> yeah, I think I have about 20 as well, I like them
[23:00:20] <nevdull> yah gotta take some extra steps with single supply opamps but for not having to generate a negative voltage to ground its worth it
[23:02:54] <jadew> http://dumb.ro/files/ps2/psu1.jpg
[23:03:03] <jadew> http://dumb.ro/files/ps2/psu2.jpg
[23:03:24] <jadew> looks ugly, cuz I was constrained by the board size and the fact that it had to be single layer
[23:03:39] <nevdull> nah i like it. looks good.
[23:04:14] <nevdull> spiffy case you have for it too with lcd.
[23:04:54] <nevdull> is that USB in the back?
[23:05:00] <jadew> it's nice, problem is I need to get those readings right, otherwise the LCD will be useless :)
[23:05:13] <jadew> yeah, it's optoisolated
[23:05:19] <nevdull> sweet
[23:05:52] <jadew> yeah, it's gonna be great for some experiments
[23:06:07] <jadew> it's cool for debugging too
[23:06:13] <nevdull> yah i bet. what do you have running on the USB?
[23:06:33] <jadew> what do you mean?
[23:06:44] <jadew> like what data?
[23:06:51] <nevdull> i mean, do you have it connected to your pc communicating data or ?
[23:07:00] <nevdull> yeah
[23:07:03] <jadew> yeah, right now it's for debugging
[23:07:09] <nevdull> that's way cool
[23:07:16] <jadew> it's easier than to output stuff on the LCD
[23:07:25] <nevdull> for sure or via rs232 even
[23:07:46] <jadew> it identifies itself as a virtual com port
[23:08:02] <nevdull> what's the pc-side app written in?
[23:08:13] <jadew> for now, there's none :)
[23:08:15] <nevdull> hehe
[23:08:19] <jadew> I'm just connecting with putty
[23:08:27] <nevdull> its great you had the forsight to design in usb.
[23:08:56] <jadew> well, I kinda missed it on my first one, so that's where it came from :)
[23:09:06] <nevdull> haha
[23:09:21] <jadew> the first one did have a serial port, but it wasn't isolated
[23:09:42] <nevdull> get switching interference much?
[23:09:58] <jadew> nope, the smps seems to be pretty quiet
[23:10:05] <jadew> I will eventually shield everything
[23:10:27] <nevdull> that's a cool project. well thought out PSU. you got me wanting a USB on mine now lol
[23:10:36] <jadew> hehe
[23:11:08] <jadew> there are some holes in there just for shielding, but I don't have the aluminium sheet to do it with, I figured I could use aluminium from the coke cans (dr pepper actually)
[23:11:36] <jadew> but I'm having a hard time cutting them, getting them into the right shape and then soldering, since they have some weird coting
[23:11:55] <jadew> the raised board (on the right)
[23:12:21] <nevdull> not a bad idea. i just saw some single-sided copper conductive shielding tape on ebay for ~ $10 for 6mm x 20m roll. that's just convenient :)
[23:12:27] <jadew> is supposed to get inside a shielded box, together with those pots and the resistors underneeth - that's the voltage reference + voltage dividers
[23:12:34] <nevdull> ah yeah i bet
[23:12:38] <jadew> and it's supposed to get heated to a specific temperature
[23:12:58] <jadew> nevdull, that's nice, got a link for that?
[23:13:09] <nevdull> yah one sec
[23:14:10] <nevdull> jadew: http://www.ebay.com/itm/190655178902?ssPageName=STRK:MESINDXX:IT&_trksid=p3984.m1436.l2649
[23:14:31] <nevdull> and it's 10mm x 30m for ~ $8
[23:14:37] <jadew> that's awesome, thanks
[23:14:40] <nevdull> sure thing
[23:14:58] <jadew> I think I'm gonna get some, I'm sure you can make some nice shielding boxes out of it
[23:15:35] <nevdull> yeah, i'd bet so
[23:16:14] <jadew> for the case I'm just gonna use aluminium foil (from the kitchen)
[23:16:54] <jadew> not sure how effective that is, but it's the best I can do
[23:17:20] <jadew> on the inside! it would look ridiculous on the outside
[23:18:04] <nevdull> for mine i made a chassis ground with some aircraft aluminum i picked up from an RC hobby store, then built the case out of polyacrylamide sheets, melt/glue at the joints, and liberal application of bondo for a keen aesthetic effect ;)
[23:18:30] <jadew> nice
[23:18:38] <nevdull> oh and a digital temperature sensor
[23:18:58] <jadew> yeah, I have 2 in there too
[23:19:02] <nevdull> that rocks
[23:19:09] <jadew> one next to the reference (should be inside the box)
[23:19:12] <nevdull> you'll be pulling lots of info outta that thing
[23:19:13] <jadew> and another one on the heatsink
[23:19:20] <jadew> yeah
[23:21:41] <jadew> oh, and one other cool thing it has, which I'm sure it's gonna help a lot, is the ability to split the output
[23:21:52] <jadew> so I can get 10 0 -10
[23:22:00] <jadew> that can be adjusted as well
[23:22:12] <jadew> so anything from +10 to -10
[23:22:20] <nevdull> how did you configure your load connections? are there more than one? i coupled my first design in a bad way. since the psu loads draw a current which varies with time, i setup a time-varying interaction among the loads that caused some noise, pulse coupling, and a general tendency toward interload oscillation.
[23:22:40] <nevdull> i had to start all over but that's what prototyping and learning from mistakes is all about ;)
[23:22:42] <nevdull> imo heh
[23:23:10] <nevdull> ah cool. you have something like a variable resistance voltage divider in there or ?
[23:23:13] <jadew> which loads are you referring to?
[23:23:23] <jadew> nevdull, a push pull amplifier
[23:23:48] <nevdull> very cool.
[23:23:50] <jadew> which goes from the output vcc to the ground and then the output of the amp becomes the new ground
[23:24:04] <jadew> so the current ground becomes the negative output
[23:24:36] <nevdull> yah very slick
[23:25:03] <jadew> needed a 3 rows swtich, they're not cheap :)
[23:25:21] <nevdull> one of those rotary switches?
[23:25:40] <jadew> no, regular switch, but one that can connect 3 different stuff at once
[23:25:56] <nevdull> like a triple pole double throw or something?
[23:26:39] <jadew> http://static.tme.eu/katalog_pics/3/f/4/3f4275581515a24ec8250d7026ee81d6/7301syzqe.jpg
[23:27:03] <nevdull> and yeah, switches can be spendy...i've been drooling over the newest VFD/OLED switches at mouser lately
[23:27:51] <jadew> how do those look like?
[23:28:44] <nevdull> oh man the resolution on them is fantastic and you can program them to display anything
[23:29:07] <nevdull> i've got one in my cart...i'll pull up a link
[23:29:13] <jadew> sounds like they could make an awesome menu
[23:29:35] <nevdull> http://www.mouser.com/ProductDetail/NKK-Switches/ISC01P/?qs=sGAEpiMZZMsmUjwTDAf1twlk%252bLcfQ0%252bi
[23:29:35] <jadew> changing based on the context
[23:29:40] <nevdull> exactly
[23:29:53] <nevdull> the first step toward a viable LCARS display! haha
[23:29:59] <jadew> holly crap, that's expensive!
[23:30:21] <nevdull> yeah, they're not cheap, but the price has been going down slowly over the past 6-8 months
[23:30:33] <nevdull> but probably won't break $45-50/ea
[23:31:14] <jadew> wouldn't it be cheapper to buy one of those OLED keyboards and strip it down
[23:31:36] <nevdull> probably so. you can get a 1.2" OLED on the cheap from ebay
[23:31:41] <jadew> I think it costs like... 2k? so 2000 / 104 ~= $20 / key :P
[23:31:57] <nevdull> haha
[23:32:46] <nevdull> the resolution on them is just mind boggling...i'm totally captivated by the possibility of changing the image on the push buttons to be context-sensitive human interface system
[23:34:29] <nevdull> oh thanks for that link to the switch....i get it now.
[23:34:52] <jadew> :)
[23:49:09] <jadew> alright, so the reference doesn't change, the voltage on the adc pin doesn't change, the supply VCC changes only by 1mV, I can't see any additional noise anywhere, yet the read value changes by a lot when I'm turnning the output on
[23:49:58] <jadew> turning the output on means I'm making the connection between the + plug and the + output from the board and that I'm switching a led from green to red
[23:50:05] <jadew> there's no load, there's nothing in there
[23:55:55] <jadew> alright, now I know what's causing the shift in the voltage reading
[23:56:00] <jadew> turning on the red led
[23:56:06] <jadew> now I need to figure out why