#avr | Logs for 2012-02-19

Back
[01:13:11] <Kevin`> http://pastebin.com/gDeRyNMN - only 3kb of flash space for using the floating point version of printf. a bargain ;p
[02:22:12] <Roklobsta_> It would take 0kB if we had evolved 8 or 16 toes.
[02:29:44] <Kevin`> lol :D
[02:30:45] <Casper> or not, because we'ld have made computer using base 3 then :D
[06:59:28] <norbi> hi guys, im preparing for an exam, and there is a tricky question
[07:01:07] <norbi> there is an 8 bit register SCON, that has its bits named as SM1, SM2 etc, and that SM1 and SM2 is also named together as SMOD, so the question here is SMOD is also a register inside a register or its just named bits?
[07:08:01] <norbi> hm im wrong, SMOD is in PCON register, and sm1 and sm2 is in scon register, sry ... lol
[07:24:47] <Tom_itx> smod is a byte register with bits named SM1... SMx
[07:25:10] <Tom_itx> mmm ok
[07:25:33] <Tom_itx> scon*
[08:34:37] <aarossig> Hey everyone, I've got an touchscreen that I am interfacing to my atmega32. I got the display initialized but I'm having difficulty reading the touchscreen. https://github.com/adafruit/Touch-Screen-Library/blob/master/TouchScreen.cpp
[08:35:31] <aarossig> I'm rewriting that library so that it will work with my non-arduino mcu. The function I am interested in is at line 180... why do they make those pins inputs and then write them low?
[08:36:18] <theBear> low inputs = no pullup
[08:36:38] <aarossig> that's what I thought... hmm
[08:36:54] <aarossig> I must be doing something wrong with analog input then
[08:38:11] <aarossig> well -- back to the drawing board, thanks for the swift answer
[08:40:47] <theBear> i haven't looked at the link btw, that was a simple one-off statement
[08:49:31] <Kevin`> abcminiuser: lufa's hid bootloader on my teensy++v2 reboots to the bootloader instead of the application? is this normal? what's the best/easiest way to fix it?
[08:55:42] <Kevin`> also, I can do this, right?: eeprom_read_block(&Ethernet_RNDIS_Interface.AdapterMACAddress,(void*)0x11,6);
[08:59:16] <Kevin`> .Config. rather
[08:59:50] <aarossig> theBear: that's fine, I can read the code just fine.. I just wanted to verify my hypothesis as to why they would write an input low
[09:00:05] <theBear> very good then
[09:01:17] <abcminiuser> Kevin`, hrm
[09:01:36] <abcminiuser> Did you change the fuses?
[09:01:53] <Kevin`> abcminiuser: change how?
[09:02:10] <Kevin`> RNDISEthernet.c: In function ‘SetupHardware’:
[09:02:10] <Kevin`> RNDISEthernet.c:878: warning: passing argument 1 of ‘__eerd_block_usb1286’ discards qualifiers from pointer target type
[09:02:22] <Kevin`> that concerns me, for the second one
[09:02:30] <Kevin`> dunno what it's actually defined as though
[09:03:14] <abcminiuser> What toolchain did you compile it with?
[09:03:29] <Kevin`> debian's gcc
[09:04:04] <ziph> abcminiuser: Hey there.
[09:04:09] <abcminiuser> Hey ziph
[09:04:14] <abcminiuser> Kevin`, checking it now
[09:04:17] <Kevin`> http://pastebin.com/WMachKJu
[09:05:00] <abcminiuser> Kevin`, is that with the stock demo?
[09:05:27] <Kevin`> abcminiuser: for the purposes of the mac address thing, mostly. otherwise, no.
[09:05:40] <Kevin`> obviously the demo uses a value hardcoded in flash
[09:05:48] <Kevin`> but I don't want that, what should I do about it
[09:05:56] <abcminiuser> I'd need to see the exact line of code it's warning about, can you post it?
[09:06:20] <Kevin`> eeprom_read_block(&Ethernet_RNDIS_Interface.Config.AdapterMACAddress,(void*)0x11,6);
[09:08:22] <ziph> abcminiuser: Ever had/seen usbser.sys running on a composite device with another different driver? I took a dual serial (with IAD's) descriptor set and changed one of the IAD's to contain a vendor class and it doesn't want to start up on it. :)
[09:09:07] <abcminiuser> Kevin`, change (void*)0x11 to (const void*)0x11
[09:09:17] <abcminiuser> Although try to use symbollic EEPROM addresses over hardcoded ones
[09:09:28] <abcminiuser> ziph, no, not that I can remember
[09:09:56] <Kevin`> abcminiuser: same error, as it's complaining about argument 1
[09:11:32] <abcminiuser> What's the type of it?
[09:12:36] <Kevin`> USB_ClassInfo_RNDIS_Device_t,MAC_Address_t, whatever that ends up as
[09:16:22] <ziph> abcminiuser: http://support.microsoft.com/kb/918365 mentions something but is so vague as to be mostly useless.
[09:17:28] <ziph> Although I guess if they have fixed it I could write a driver for XP and use the standard one in Vista and above.
[09:17:40] <abcminiuser> Kevin`, could be that the mac address is supposed to be const
[09:18:01] <Kevin`> abcminiuser: well it's your library ;p. what do I do about it?
[09:18:14] <abcminiuser> Just wondering how it would break if you override it
[09:18:20] <abcminiuser> Probably best to just remove the const
[09:18:38] <Kevin`> [539783.993413] eth1: register 'rndis_host' at usb-0000:00:12.0-3, RNDIS device, 00:16:3e:ff:00:6b
[09:18:41] <Kevin`> [539783.993486] cdc_acm 3-3:1.2: ttyACM0: USB ACM device
[09:18:48] <Kevin`> apparently it's somewhere in sram, that's a good thing
[09:19:12] <Kevin`> I was expecting it to be a flash address and randomly clobber the stack
[09:20:25] <abcminiuser> No, it would be copied to SRAM
[09:20:26] <Kevin`> higher priority on the bootloader thing, since apparently the warning really is just a warning (hid bootloader rebooting to itself)
[09:20:44] <abcminiuser> But I'll remove the const for tonight's release, since people might want to do runtime alterations for some crazy reason
[09:21:00] <Kevin`> storing the mac address in eeprom is crazy? ;p
[09:21:32] <abcminiuser> Alternatively I make it a const pointer to the user's SRAM buffer, but screw that
[09:21:42] <abcminiuser> Might as well just make it non-const to begin with
[09:21:56] <abcminiuser> You're lucky, I'm just finishing up for the next release right now
[09:22:06] <abcminiuser> Found a few last minute booboos
[09:22:30] <ziph> What's in the new release?
[09:23:12] <abcminiuser> ziph, http://www.fourwalledcubicle.com/files/LUFA/Doc/120219/html/_page__change_log.html
[09:23:22] <abcminiuser> Plus a bunch of minor bugs fixed
[09:23:48] <ziph> How did you end up sorting out the mutli-arch demos?
[09:24:39] <abcminiuser> I didn't actually, the move to Norway kinda broke that
[09:24:58] <abcminiuser> I'll get this release out, then figure it out, I know what I need to fix for XMEGAs to work now
[09:25:03] <abcminiuser> But that will take some time
[09:25:06] <ziph> Ahh, ok.
[09:25:23] <ziph> I noticed it when looking at the NXP stack.
[09:26:42] <Kevin`> http://kwzs.be/~kevin/rfm-random-1.png - that's what I get for everything working on the first try yesterday :(
[09:27:34] <Kevin`> abcminiuser: bootloader?
[09:27:52] <abcminiuser> ziph, oh?
[09:28:35] <abcminiuser> Kevin`, what is it?
[09:29:17] <abcminiuser> SWEET JESUS THIS BURNS
[09:29:30] <abcminiuser> Protip, don't run a "make all" on the LUFA trunk when using a laptop
[09:29:56] <ziph> Heh.
[09:30:02] <ziph> You didn't want kids, did you? :)
[09:30:11] <abcminiuser> No choice in the matter now
[09:30:17] <abcminiuser> I wonder why the AVR32 compiler is SO. SLOW.
[09:31:03] <Kevin`> abcminiuser: what is what?
[09:33:00] <Kevin`> oh, I think I forgot to tell the radio module what it's address is. although there must be other problems too
[09:34:24] <amee2k> also, huge lol at this dude on ebay... bought a ZIP100 drive from me without asking about international shipping. now 13EUR is too much for him and he wants to know if i have more drives to sell or disks for them
[09:35:18] <ziph> abcminiuser: The NXP one seems to have taken your tree and just dropped out the other ARCH's and removed any AVR #ifdef's (if they were there at all that is).
[09:36:03] <abcminiuser> ziph, oh well that's one way to do it I guess
[09:36:21] <ziph> abcminiuser: Did I mention they also emulate the AVR endpoints with a memory buffer?
[09:36:30] <abcminiuser> Yes, crazy
[09:36:44] <abcminiuser> But I guess needed until I re-design with packet orientated transfers over byte orientated
[09:36:58] <ziph> You might not have to for the LPC.
[09:37:13] <ziph> I've managed to get it working such that you'd be able to port LUFA directly.
[09:37:30] <abcminiuser> Oh, how?
[09:37:35] <Kevin`> abcminiuser doesn't wanna look at the bootloader stuff? :(
[09:38:24] <abcminiuser> Kevin`, sorry, just uploaded the corrected LUFA code, which will be in tonight's release
[09:38:27] <ziph> The interrupt handlers just mark endpoints as being ready and the regular code is just careful to disable interrupts when talking to the USB controller.
[09:38:40] <abcminiuser> Anyway, so the issue is the HID bootloader won't start the app, even after a chip reset?
[09:38:44] <Kevin`> abcminiuser: bootloader issue is seperate from the mac address issue
[09:38:57] <ziph> However the endpoint reading and writing registers never get used by the interrupt handler, so that can be running while interrupts are firing.
[09:39:20] <Kevin`> abcminiuser: yes. well the code will start if I powercycle it, but whatever software reset the bootloader does ends up starting the bootloader
[09:39:26] <abcminiuser> Neat, you should let them know about that to simplify their code in the meantime
[09:40:14] <ziph> In my case I have a RTOS thread that waits on events (one per endpoint) and does the callbacks.
[09:40:22] <abcminiuser> Kevin`, the app start code just resets the chip with the watchdog
[09:40:32] <abcminiuser> So as long as the HWB pin is high, it should start the user app
[09:40:41] <ziph> But you could just let stuff sit in the endpoints as LUFA does.
[09:41:46] <Kevin`> do you recall how HWB is wired on the teensy devices?
[09:44:04] <abcminiuser> Kevin`, pulled up via an external pullup, and tied with reset IIRC with a button to GND
[09:44:58] <Kevin`> i'll try to probe it with a multimeter to be sure
[09:46:04] <ziph> abcminiuser: I had a look at the STM32 controller the other day. You get 3 IN and 3 OUT endpoints and that's it.
[09:47:04] <abcminiuser> ziph, laaaame
[09:47:24] <abcminiuser> I want to work on the SAM7 port soon, but I guess I should try to finish the current ones first :P
[09:47:25] <Kevin`> it's low, 0.08v
[09:47:34] <Kevin`> checking the datasheet
[09:47:43] <abcminiuser> Really I'm interested in getting XMEGA done, since that's the most useful of the bunch
[09:47:44] <Kevin`> erm, schematic rather
[09:47:49] <abcminiuser> And similar to the AVR8
[09:48:20] <ziph> abcminiuser: I presume you have access to a USB analyser to assist that effort now? :)
[09:49:57] <abcminiuser> Yes, yes I do :)
[09:50:19] <abcminiuser> I know why the class drivers break now, fixing that is relatively simple if time consuming
[09:51:07] <Kevin`> abcminiuser: the schematic shows it tied low with a resistor, and not connected to anything else. how could that be? :/
[09:52:59] <ziph> abcminiuser: Looked at these: http://www.atmel.com/microsite/sam_cortex/ ?
[09:53:05] <abcminiuser> Well that would cause the bootloader to run if the chip was reset externally
[09:53:22] <abcminiuser> ziph, I have some models in mind for a very specific reason, watch Nuremberg
[09:53:46] <Kevin`> abcminiuser: but not on powerup?
[09:53:47] <ziph> The city in Germany?
[09:54:16] <abcminiuser> ziph, the electronics expo in said German city
[09:54:21] <abcminiuser> Watch Atmel's announcements
[09:54:23] <ziph> Ahh.
[09:54:28] <abcminiuser> Kevin`, no
[09:55:26] <ziph> I'm still a bit burned by the great DataFlash famine of 2011 to really get excited about new Atmel parts though. :)
[09:56:23] <abcminiuser> Nope, no a new part
[09:59:20] <ziph> 28-29 Feb?
[10:00:21] <Kevin`> abcminiuser: what do you suggest to fix or work around it, preferably without changing the hardware?
[10:01:02] <abcminiuser> Try changing the for(;;); at the end of the code with a software jump to location 0x0000
[10:02:39] <Kevin`> mm. I don't suppose the code already undoes all it's hardware configuration? I guess the actual firmware running lufa will change a superset of that though
[10:07:28] <abcminiuser> Nope, which is why I use the watchdog :P
[10:07:37] <abcminiuser> No space to unwind the configs
[10:08:09] <Kevin`> does the bootloader use interrupts/ivsel?
[10:16:09] <Tom_itx> abcminiuser, seems to work ok now
[10:16:29] <abcminiuser> Tom_itx, awesome
[10:16:37] <abcminiuser> I'm about 20 mins away from releasing
[10:16:51] <abcminiuser> Just finishing up my non-technical blog post of the week
[10:16:56] <Tom_itx> oh, should i grab it again then?
[10:18:57] <ziph> abcminiuser: No furniture? They don't have ikea there? ;)
[10:21:09] <abcminiuser> Tom_itx, nothing changed that will affect you I think
[10:21:16] <abcminiuser> Just fixed up a bunch of minor things (see changelog)
[10:21:25] <abcminiuser> ziph, still need to go there :P
[10:21:42] <Tom_itx> abcminiuser what analizer do they use there?
[10:21:46] <Tom_itx> USB..
[10:22:15] <Tom_itx> i was looking at a couple the other day
[10:22:15] <ziph> abcminiuser: They might have one in Sweden if you don't mind the drive. :)
[10:22:26] <abcminiuser> There's a local one, just haven't bought tables yet
[10:22:28] <abcminiuser> Just a bed
[10:22:37] <abcminiuser> Tom_itx, Elisys somethingorother ancient
[10:23:01] <ziph> Tom_itx: I have one of these and it works well: http://www.internationaltestinstruments.com/default.aspx
[10:23:06] <ziph> LS/FS
[10:23:23] <ziph> Hey, wait, it does HS too.
[10:24:14] <ziph> I should find a chip with HS+PHY.
[10:24:20] <abcminiuser> Bam, boring blog post of the week is up
[10:24:33] <abcminiuser> Dinner first, then I'll upload the new release and corresponding post about it
[10:24:36] <ziph> abcminiuser: Any AVR's around that support HS?
[10:24:50] <abcminiuser> AT32UC3A3*
[10:25:06] <abcminiuser> UC3 A3 and A4 chips do
[10:25:08] <abcminiuser> None others
[10:25:19] <ziph> Ah, ok.
[10:25:24] <ziph> Enjoy dinner. :)
[10:25:29] <ziph> Lutefisk? :)
[10:28:34] <specing_> If Im in an ADC interrupt and I set ADSC again without reading ADCL for about 100 cycles, will it screw up the value in ADCH:ADCL?
[10:28:55] <Kevin`> abcminiuser: it didn't work to do asm("jmp 0"::);
[10:29:10] <Kevin`> abcminiuser: or is that the wrong syntax? I don't do much asm
[10:29:18] <abcminiuser> ziph, nei, ikke Litefisk
[10:29:25] <abcminiuser> Vi spiser pizza idag
[10:30:29] <ziph> :)
[10:31:32] <specing_> I estimate it takes about 1600 cycles between conversions
[10:32:04] <abcminiuser> Kevin`, ((void (*)(void))0x0000)();
[10:32:12] <specing_> Not sure why the datasheet is so explicit about the "ADCL blocks ADC access to those registers" feature?
[10:33:52] <ziph> Is the PC memory mapped? Assigning 0 to it would look funny too.
[10:35:43] <specing_> ziph: thankfully not
[10:35:52] <Kevin`> specing_: it seems rather important to me to make clear that you have to read ADC{H,L} in a specific order otherwise it won't update because it's waiting for you to read the other register
[10:35:55] <ziph> Tsk. :)
[10:37:02] * specing_ will optimize away another register :D
[10:37:15] <specing_> I threw out a tst this morning
[10:38:50] <Kevin`> abcminiuser: nah, that didn't work either. doesn't start the application properly, whatever it ends up doing
[10:39:27] <abcminiuser> I wonder what the issue is then?
[10:39:32] <abcminiuser> Odd
[10:39:37] <Kevin`> /* Relocate the interrupt vector table to the bootloader section */
[10:39:37] <Kevin`> MCUCR = (1 << IVCE);
[10:39:37] <Kevin`> MCUCR = (1 << IVSEL);
[10:39:43] <Kevin`> ooh, look what I randomly see :)
[10:40:04] <abcminiuser> Ah yes, that would do it
[10:40:22] <abcminiuser> The DFU bootloader does a proper teardown before a software jump to the app
[10:40:30] <abcminiuser> But there's no space for that in the HID one
[10:41:44] <Kevin`> I should try the dfu bootloader again. it would work fine here, but last time I used it it caused the host to crash because it tore down the usb interface while it was still doing something or other (which is of course the host's fault)
[10:45:03] <Kevin`> resetting the interrupt vector got it to work further, but now there's a bunch of usb errors
[10:45:12] <Kevin`> bah, i'll just try the dfu one
[10:45:36] <Kevin`> erm, actually, the dfu bootloader needs a rather annoying application to use
[10:45:46] <Kevin`> does the cdc bootloader do the same teardown?
[10:48:01] <Kevin`> (apparently not)
[10:55:42] <Tom_itx> ziph, did you get any class decoders with it?
[10:56:14] <Kevin`> seems like dfu-programmer has improved
[10:56:27] <ziph> Tom_itx: I don't think so, but the software you can download from their site is what you get with the hardware.
[10:56:34] <Tom_itx> Kevin`, how so?
[10:56:43] <Tom_itx> ziph, ok
[10:57:00] <ziph> Tom_itx: Did you find the other <$1000 one?
[10:57:23] <Kevin`> Tom_itx: by being smarter about commands
[10:57:27] <Tom_itx> there were a couple i saw
[10:57:36] <Tom_itx> it's been a while so i'm not sure what they were
[10:57:41] <ziph> There's a new one that wasn't arround when I bought this one.
[10:57:45] <ziph> Looking to get one?
[10:57:50] <Tom_itx> just looking
[10:57:56] <ziph> They're well worth it.
[10:58:04] <ziph> This one had a problem I'd spent two days on fixed in 10 minutes.
[10:58:36] <ziph> Class decoders didn't bother me because you can get cheap Windows software that has access to most of that.
[11:05:24] <abcminiuser> Ok, anyone with any reason why I shouldn't release LUFA, speak now
[11:05:31] <abcminiuser> Otherwsie I'm going to tag+release
[11:06:10] <ziph> It slept with a bridemaid just before the ceremony!
[11:06:47] <dirty_d> sweeet, looks like this thing is actually working acording to my soundcard oscope, lol
[11:08:13] <Kevin`> abcminiuser: even with the dfu bootloader the application isn't starting up properly (it starts executing, but fails to connect to usb and apparently resets)
[11:09:29] <CapnKernel> OndraSter: I've asked a good many places about your sloped box, and not found anyone yet.
[11:10:05] <OndraSter> that's okay, I bought regular one here
[11:10:08] <OndraSter> meanwhile
[11:10:26] <CapnKernel> Good
[11:10:56] <CapnKernel> I think it's a useful shape, I'd still like to find it :-)
[11:12:09] <OndraSter> hehe
[11:14:31] <dirty_d> is 64 bit support buggy or something?
[11:14:41] <dirty_d> ie int64_t
[11:16:02] <specing_> slow I'd say
[11:16:08] <specing_> think:
[11:16:32] <specing_> 8 lds followed by whatever you are doing with that number, followed by 8 sts's
[11:17:03] <specing_> equals atleast 64 bytes of code space (just the lds/sts
[11:17:34] <OndraSter> hmm why did Farnell have datasheet for that inductor which said 8x8mm
[11:17:37] <OndraSter> in reality it is 5x5mm
[11:17:41] <OndraSter> it barely fits on the pads
[11:18:47] <specing_> lol
[11:18:57] <dirty_d> yea, tahts not that bad
[11:19:06] <dirty_d> compared to fixed or floating point
[11:19:19] <specing_> My farnel experience: ordered a 14p ribbon cable, got a 14p normal cable :_:
[11:19:34] <OndraSter> lol
[11:19:49] <OndraSter> well the sticker fits..
[11:20:29] <Tom_itx> specing_, it comes wrapped
[11:20:39] <Tom_itx> you're supposed to unwrap it to find the ribbon
[11:21:11] <Tom_itx> abcminiuser, is it safe yet?
[11:22:07] <abcminiuser> Just uploaded the release
[11:22:19] <Tom_itx> you fixed that one bug right?
[11:22:22] <abcminiuser> Still synching to the public SVN and GIT
[11:22:28] <Tom_itx> the one we found when you off eating?
[11:22:32] <abcminiuser> Wha?
[11:22:37] <Tom_itx> :)
[11:22:43] <abcminiuser> You're a bastard.
[11:22:44] <OndraSter> plus farnell's pink boxes smell awful
[11:23:05] <Tom_itx> just gotta keep you on your toes
[11:23:47] <Tom_itx> it's just like placing a big parts order to find out you missed one thing
[11:23:55] <OndraSter> haha
[11:23:57] <OndraSter> I know that
[11:24:02] <OndraSter> it happens EVERY SINGLE TIME
[11:24:19] * abcminiuser back in 10
[11:24:20] <OndraSter> if it is local shop - okay, I go there and add that single item when I am picking it up
[11:24:26] <OndraSter> but when it is shipped through post...
[11:24:27] <OndraSter> :/
[11:34:40] <abcminiuser> Goddamit, I think I broke svnsync
[11:34:56] <Tom_itx> your app is getting too big
[11:35:50] <abcminiuser> Mmm
[11:35:55] <Tom_itx> sry if you took my humor wrong
[11:36:17] <abcminiuser> Tom_itx, I have SOME capability to identify humor :P
[11:37:12] <OndraSter> hmm I presume that RESET pin has internal pullup on megas?
[11:37:21] <abcminiuser> Thar' she blows!
[11:37:21] <Tom_itx> where do you delete bookmarks in ff?
[11:37:27] <abcminiuser> SVN is updated, now GIT...
[11:37:29] <Tom_itx> is git current then?
[11:37:30] <OndraSter> I have been using board without external pullup on RESET for few days now
[11:37:30] <Tom_itx> oh
[11:37:36] <OndraSter> and hasn't been single accidental reset yet
[11:38:01] <abcminiuser> OndraSter, not recommended
[11:38:06] <OndraSter> I know
[11:38:10] <OndraSter> I am waiting for them to arrive
[11:38:13] <abcminiuser> Newer devices have a 30K internal, older 100K+
[11:38:14] <OndraSter> meanwhile testing out my application :)
[11:38:23] <abcminiuser> It may cause some issues in noisy environments
[11:38:28] <OndraSter> 4k7 pullups are on the way
[11:38:32] <OndraSter> .. just as 100nF caps
[11:38:57] <Tom_itx> just tie it to the rail and it won't reset
[11:39:06] <OndraSter> <OndraSter> and hasn't been single accidental reset yet
[11:40:43] <keenerd> I ran a board like that for a few months without trouble.
[11:41:47] <Tom_itx> how do i dump ff bookmarks?
[11:42:13] <OndraSter> isn't there option built in?
[11:42:29] <Tom_itx> i'm looking for it
[11:42:56] <robotusrex> click on the star in the URL bar
[11:43:19] <robotusrex> and then remove bookmark
[11:43:19] <keenerd> Or open the bookmarks editor and click export.
[11:43:41] <robotusrex> or uninstall ff
[11:43:52] <OndraSter> haha yeah
[11:43:57] <OndraSter> Opera + IE9 <3
[11:45:34] <keenerd> Yay Opera, the only browser that supports my abusive tabaholism.
[11:46:19] * abcminiuser AFK, watching movie with the GF
[11:46:28] <robotusrex> wait, never mind what i said, i thought you were trying to delete bookmarks
[11:46:35] <Tom_itx> i am
[11:46:38] <Tom_itx> all of them
[11:46:56] <keenerd> Why?
[11:47:07] <Tom_itx> because i want to?
[11:47:43] * keenerd never understood pointless destruction of data.
[11:47:44] <Tom_itx> i imported now i have a bunch of duplicates
[11:47:52] <Tom_itx> so i'm gonna start fresh
[11:48:41] <keenerd> Ah. There are also extensions to automatically remove dups, but if you have a clean backup that might be faster.
[11:51:56] <Tom_itx> done
[11:52:30] <Tom_itx> i bookmark stuff on 3 pcs and sync them every once in a while
[11:52:33] <Tom_itx> this is that while
[11:55:13] <OndraSter> Opera Sync? :)
[11:55:19] <Tom_itx> now if i could figure out how to default mirc to ff i'd be happy
[11:55:31] <Tom_itx> it is the default brouser
[12:07:25] <Kevin`> http://pastebin.com/Y1bP3KNX -- apparently the random backoff thing is rather important :)
[12:24:17] <dirty_d> well im stumpped
[12:24:26] <dirty_d> my i2c comm with my imu stopped working
[12:24:37] <dirty_d> however, if i touch the scl line with my bare finger, it works
[12:26:37] <dirty_d> brb
[12:37:29] <Kevin`> http://kwzs.be/~kevin/how_it_should_be.png :D
[13:21:27] <carp3> dirty_d: check pull ups.
[14:22:02] <OndraSter> anyone using Altium Designer?
[14:22:21] <OndraSter> the user control is just awful, to pan around the board you have to use right click.. and it takes like half sec to react on the right click that you want to pan rather right click
[14:22:30] <OndraSter> and scrolling is on middle (wheel) button
[14:22:35] <OndraSter> and then you move around the mouse
[14:22:36] <OndraSter> wtf
[14:22:59] <mrfrenzy_> something is wrotng with your pc or installation
[14:23:03] <mrfrenzy_> it should be smooth
[14:23:09] <OndraSter> the right click?
[14:23:15] <mrfrenzy_> yeah
[14:23:17] <OndraSter> when you right click and pan around immediatily
[14:23:25] <OndraSter> it takes some time to realize that you do not want to right click but pan
[14:23:28] <mrfrenzy_> been a few months since I used it, but there was no lag at all
[14:23:30] <OndraSter> I have build 10.589
[14:24:30] <mrfrenzy_> I don't have it installed on this pc
[14:26:54] <OndraSter> I think
[14:26:56] <OndraSter> I am going back to Eagle
[14:33:15] <aarossig> exit
[14:59:33] <buhman> I wonder why atmel doesn't seem to have electrical datasheets for the microcontrollers
[14:59:55] <buhman> with suggested circuit diagrams for typical usages, like you would see with most other components
[15:00:29] <keenerd> You mean App Notes?
[15:01:03] <keenerd> They are micros. They don't have a typical use, they are used for everything ;-)
[15:01:08] <buhman> heh
[15:01:59] <keenerd> But there are a lot of App Notes for that kind of thing. Easier to make one AN about a topic then putting it in every datasheet.
[15:02:06] <keenerd> *than
[15:02:09] <buhman> well I mean even just putting a little spoonfeeding "this is how you attach the wires to this specific microcontroller to in-system-program it"
[15:02:44] <buhman> I mean I know that's really simple; I'd just wondered why it isn't in the datasheet
[15:02:46] <mrfrenzy_> that's in the programmer manual, for example the stk500 has a few good examples
[15:03:02] <keenerd> http://www.atmel.com/Images/doc0943.pdf
[15:03:26] <keenerd> First hit for avr app note isp
[15:04:36] <buhman> heh, but that's not specific to a particlar pinout for each microcontroller
[15:04:54] <keenerd> No, they just say mosi/miso/reset instead.
[15:05:21] <keenerd> Because it would be way too much to make 300 flavors of the same 10 page app note and slap it in every datasheet.
[15:06:25] <buhman> heh
[15:06:48] <keenerd> They won't even put C samples in the app notes just because of compiler variations.
[15:07:55] <keenerd> Sometimes they do. It might just depend on how much the author likes ASM.
[15:14:46] <Casper> buhman: each datasheet contain enought info to know what to use for ISP
[15:14:59] <Casper> then you need to refer to your programmer to know more
[15:15:24] <Casper> for example, the atmega128 say to use RX0, TX0, SCK and reset
[15:15:35] <Casper> while most say mosi/miso/sck/reset
[15:16:01] <Casper> for more info, you need to look at the programming portion of the datasheet, which include the waveform and the command
[15:16:38] <Casper> and why would they make a thousand of drawing when they just need to tell you which pin to use?
[15:17:35] <OndraSter> eah
[15:17:36] <OndraSter> yeah
[15:17:55] <OndraSter> and mega128 has also external pin PEN for some rare cases (force ISP download)
[15:20:21] <RikusW> OndraSter: have you ever used PEN ?
[15:20:39] <RikusW> and for which rare cases ?
[15:20:46] * RikusW wondered about it...
[15:21:01] <OndraSter> I did not
[15:21:11] <Casper> my guess: pin saver
[15:21:14] <OndraSter> but it is for cases when the ISP programmer cannot hold RESET down for long time
[15:21:25] <OndraSter> ergo not used nowadays
[15:21:28] <OndraSter> problem with older times
[15:21:32] <OndraSter> mega128 is old
[15:21:46] <RikusW> I have 3 of them here...
[15:22:02] <OndraSter> I have only one :)
[15:22:03] <OndraSter> 128a
[15:22:14] <OndraSter> I don't use ISP at all actually
[15:22:16] <OndraSter> I use JTAG
[15:22:29] <RikusW> I have 128L
[15:22:35] <RikusW> only 8MHz
[15:23:18] <RikusW> using a dragon or jtagice ?
[15:24:12] <OndraSter> dragon
[15:24:17] <OndraSter> jtagice blew (chinese copy) lol
[15:24:22] <OndraSter> after like 3 days lol
[15:25:46] <RikusW> ouch
[15:26:05] <RikusW> I have a jtagmki clone you can load on a m8
[15:26:14] <RikusW> then you can put the dragon away ;)
[15:26:25] <OndraSter> mki is less than dragon
[15:26:32] <OndraSter> if I'd add output buffer to dragon
[15:26:35] <RikusW> it will work on m128a
[15:26:38] <OndraSter> yes
[15:26:41] <OndraSter> so does dragon
[15:26:45] <OndraSter> and every other jtag for AVR
[15:27:18] <Tom_itx> the MKI may not work on all of them will it?
[15:27:29] <OndraSter> mkI doesn't work on almost anything
[15:27:33] <OndraSter> only the original devices
[15:27:37] <RikusW> only m16 32 64 128
[15:27:38] <OndraSter> mega16 32 64 128
[15:27:43] <OndraSter> and 160 or w/e
[15:27:45] <RikusW> ;)
[15:27:53] <RikusW> 323 169
[15:27:59] <OndraSter> yeah
[15:27:59] <RikusW> only a few
[15:27:59] <OndraSter> those
[15:28:07] <OndraSter> dragon can do anything except TPI
[15:28:18] <RikusW> I modified mine to support programming newer avr's too
[15:28:49] <Tom_itx> the 32k barrier?
[15:29:04] <RikusW> so now there is 3 different atmega jtag programming algorithms.....
[15:29:09] <RikusW> Tom_itx: no
[15:29:22] <RikusW> one is common to old and new, but its slow
[15:29:39] <RikusW> the fast one differs slightly on the new avr's
[15:29:55] <RikusW> read a m16 and m164 ds
[16:34:50] <dirty_d> bewilderment
[16:37:29] <dirty_d> what the hell is going on
[16:46:13] <RikusW> meaning ?
[16:49:31] <dirty_d> my i2c only works if i touch the scl line, lol
[16:49:38] <dirty_d> it was working fine before
[16:50:05] <OndraSter> some hidden capacitor?
[16:50:26] <dirty_d> nothing has changed
[16:52:00] <RikusW> did you add pullups ?
[16:52:16] <dirty_d> yup
[16:52:57] <dirty_d> even measuring the voltage at scl makes ti work
[16:53:34] <dirty_d> a scope would be nice now
[16:54:09] <dirty_d> is there any register setting that could cause this?
[16:54:15] <dirty_d> like to do with internal pullups etc?
[16:54:21] <dirty_d> i havent changed anything so i wouldnt think so
[16:54:37] <OndraSter> weird
[16:57:27] <dirty_d> OndraSter, how critical is the pullup resistor vbalue?
[16:57:30] <dirty_d> im using 5.9k
[16:57:42] <dirty_d> 100Khz on a breadboard
[16:57:44] <OndraSter> yeah, 4k7 is the regular size
[16:57:45] <OndraSter> should work okay
[16:57:49] <dirty_d> i mean 400kHz
[16:59:04] <dirty_d> i just tried 100kHz, same thing
[16:59:21] <Kevin`> http://kwzs.be/~kevin/usb_wlan.png - beat that =p
[16:59:29] <Kevin`> at 9600 baud, yay. should change that.
[16:59:43] <OndraSter> with mega?
[16:59:47] <OndraSter> wifi?
[17:00:08] <Kevin`> OndraSter: not with, custom protocol with china fsk radio modules
[17:00:12] <Kevin`> not wifi*
[17:00:18] <OndraSter> ah
[17:00:24] <OndraSter> I have got here bunch of NRF24L01+
[17:01:05] <Kevin`> all the packet logic really has to be rock solid reliable for ethernet/ip to work, took quite a while
[17:01:13] <Kevin`> and it still needs a bit of fiddling
[17:01:58] <Kevin`> doesn't have a bridging table yet, so up to 47 packets broadcast per ethernet frame, not good for reliable that
[17:03:41] <OndraSter> are you planning on router made with AVR? :P
[17:03:50] <OndraSter> wifi -> dual ethernet? :P
[17:05:09] <Kevin`> nah, no router, but I will probably make an access point so sensor-type devices can use ip
[17:05:21] <Kevin`> (besides a computer with usb, that is)
[17:06:51] <Kevin`> http://kwzs.be/~kevin/usb-rfm.txt
[17:06:54] <Kevin`> needs some modulat
[17:06:57] <Kevin`> modular*
[17:23:47] <dirty_d> OndraSter, i stuck 4.4K ones in and its working
[17:23:52] <dirty_d> weird
[17:24:00] <OndraSter> too hard pullups appearantly
[17:24:05] <OndraSter> (or too weak, depends on the angle of view)
[17:24:15] <OndraSter> I am off
[17:24:20] <OndraSter> getting up in 5.5 hours lol
[17:24:20] <OndraSter> bb
[17:26:13] <dirty_d> yikes, later
[17:33:33] <dirty_d> hmm, more problems
[17:52:37] <Roklobsta_> kevin: so a bridge of sorts? Have you looked into the new bluetooth low energy? Might be more future proof.
[17:53:35] <Roklobsta_> and any device with BT4.0, like the iphone4, will talk to any *thing* over BTLE.
[17:53:56] <Roklobsta_> I don't know if anyone has made a BTLE->IP bridge though
[17:58:21] <Kevin`> Roklobsta_: I want long range
[17:58:36] <Kre10s> whats it mean when the AVR docs show a register bit name like AINC with a horizontal line attop it?
[17:58:51] <Roklobsta_> how long is long?
[17:59:10] <Kevin`> Roklobsta_: a mile maybe
[17:59:22] <Roklobsta_> ate what bitrate and frequency?
[17:59:55] <Kevin`> lowish bitrate for the long range stuff, just control and monitoring information
[17:59:59] <Kevin`> 915mhz currently
[18:01:16] <Landon> Kre10s: what docs?
[18:02:05] <Kre10s> Landon, atmel data sheets.
[18:02:33] <Landon> yes, but do you have a link and page number?
[18:02:46] * Landon is al ittle busy, but could help if you point him towards it
[18:03:59] <Roklobsta_> does the radi ohave all the error correction protocols and so on or do you need to do all that yourself?
[18:04:37] <Kevin`> Roklobsta_: these do crc themselves, but don't do anything to CORRECT an error by themselves
[18:05:40] <Kevin`> I could disable that and use some fec algorithm, but that takes a lot of resources compared to just sending the data again if it fails
[18:05:56] <Kevin`> plus there isn't much information on it
[18:06:28] <Roklobsta_> right
[18:07:49] <Kre10s> Landon atmega32m1 datasheet 16.10.17 This is not the only occurrence. It seems to have something to do with how the bit behaves...
[18:08:33] <Landon> ok, looking it up
[18:11:36] <Landon> hm, the pdf is displaying a little buggy for me
[18:11:48] <Kevin`> a line over something means it's logically inverted
[18:11:49] <Landon> so I'm not exactly sure of the context, but usually a variable with a line over it means it's active low
[18:12:04] <Landon> Kevin`: that's true for registers too? I've only seen it on pinouts
[18:15:44] <Kre10s> hm. whats active low mean? why would it be important if a simple config bit is active low or not?
[18:17:00] <Landon> so, reset is usually active low
[18:17:24] <Landon> wait, the more I think about this the less it makes sense
[18:17:33] <Landon> anyways, active low means the bit is active when it's 0
[18:18:16] * Landon dislikes having to think about inversion
[18:18:42] <Landon> so if AINC=0, then it's active
[18:19:25] <Landon> did that help? :S
[18:23:13] <Kre10s> yes. that makes sense.
[18:25:13] <Kre10s> I once new of a way to have objdump or one of the other tools produce capacity readings. like how much of the flash was taken etc. anyone remember that?
[18:25:34] <Landon> avrdude does that
[18:25:55] <Kre10s> only when you upload though right?
[18:26:00] <Landon> I believe so
[18:26:48] <Kre10s> I'm starting to reach the edge of the flash with my program :>
[18:30:16] <Kre10s> hmmm. that emoticon is unfitting... replace it with a big one of this >:(
[18:34:34] <Kre10s> Is it possible to read the position of the program counter?
[18:51:44] <Landon> what logic families use current for representing states?
[18:52:23] * Landon saw a brief mention that they exist on wikipedia, but nothing further
[20:04:41] <Tom_itx> Landon, ecl
[20:05:52] <Tom_itx> ECL is a form of Current Mode Logic [CML] that uses a pair of transistors coupled by their Emitters.
[20:05:52] <Tom_itx> The transistors are operated in the non-saturated mode, so are faster than TTL devices.
[20:05:52] <Tom_itx> Note that the ECL logic families do not offer the same number of devices that TTL or CMOS families do.
[20:06:42] <Landon> ah
[23:05:36] <CapnKernel> Sgt_Lemming: Your PCB order has been accepted by the factory, and is in production now.
[23:05:55] <Sgt_Lemming> cool, you still need to send me bank details for it :-P
[23:06:05] <CapnKernel> Oh that's right :-)
[23:06:11] <CapnKernel> PM
[23:06:20] <Sgt_Lemming> email please
[23:06:41] <CapnKernel> Rightio
[23:12:05] <CapnKernel> Done
[23:14:48] <Sgt_Lemming> cool, just gotta find the stupid Id token for my ebanking and will pay it asap
[23:16:54] <sptrks> Anyone up?
[23:17:25] <Sgt_Lemming> yup
[23:17:40] <sptrks> How goes it?
[23:17:50] <CapnKernel> Since it's 1pm here, yup
[23:18:03] <Sgt_Lemming> not too bad, 3pm here, and freaking hot
[23:18:15] <sptrks> I wish it was 1pm here. I have work than school in like 5 hours :(
[23:18:15] <CapnKernel> I'm just telling Sgt_Lemming his board's been accepted by the PCB factory :-)
[23:18:29] <sptrks> Awesome! What kind of board
[23:18:47] <Sgt_Lemming> Network Enabled RFID Device
[23:19:01] <sptrks> is is open source
[23:19:04] <CapnKernel> Fibreglass double-sided
[23:19:22] <Sgt_Lemming> sptrks, planning to open source it, working out the kinks first
[23:19:28] <sptrks> cool
[23:19:37] <Sgt_Lemming> and getting some working code to go with it
[23:20:18] <sptrks> I just ordered an AVRISP mkII !! I am beginning to concentrate more on AVR C than arduino wrapped libraries
[23:20:26] <sptrks> especially since I am a freshman EE.
[23:20:32] <Sgt_Lemming> cool, good to hear
[23:20:36] <Sgt_Lemming> where do you hail from?
[23:20:37] <sptrks> also been learning Eagle
[23:21:20] <sptrks> The US (Oklahoma)
[23:21:29] <Sgt_Lemming> kk
[23:21:32] <Sgt_Lemming> Brisbane here
[23:21:36] <sptrks> nice
[23:22:18] <sptrks> Do you do board work as a living?
[23:22:26] <Sgt_Lemming> heh, I wish
[23:22:37] <Sgt_Lemming> self taught, but getting into more advanced stuff all the time
[23:22:42] <sptrks> Nice
[23:22:53] <sptrks> I am web and mobile applications developer
[23:23:05] <sptrks> I am looking for a EE oriented job though
[23:23:17] <sptrks> I am worried web dev won't help me too much after I have my degree
[23:24:08] <Sgt_Lemming> web development is a pretty cut throat field, but so is EE these days
[23:24:16] <sptrks> Yea
[23:24:43] <sptrks> I am a young guy (19) so it is good I got my foot in the door developing
[23:24:54] <sptrks> Been doing it for ~3 ish years
[23:25:35] <sptrks> on an unrelated note. Have you ever had problems installing AVR Studio on Win 7 64bit?
[23:25:46] <sptrks> I am on a fresh installation and am having issues
[23:26:02] <sptrks> It will just minimize and stop installing
[23:26:09] <sptrks> google results haven't helped
[23:39:58] <theDarkAura> works for me sptrks
[23:40:28] <theDarkAura> maybe run as admin if you havent already tried -- i dont remember any issues getting it to install though
[23:45:38] <sptrks> I install 4.10 then upgraded to 4.19
[23:48:59] <theDarkAura> hmm -- you see 5.0 is available ?
[23:50:04] <theDarkAura> http://www.atmel.com/Images/as5installer-stable-5.1.208-full.exe
[23:50:17] <theDarkAura> save you from re-registering if you want to try it